From db3796956c883bc71dffd8450f2656a608b0a549 Mon Sep 17 00:00:00 2001 From: obijuan Date: Fri, 31 Dec 2021 18:56:52 +0100 Subject: [PATCH] icebreaker: Example added --- examples/Icebreaker/01-LEDs-buttons.ice | 2509 ++ examples/Icebreaker/02-jumping-LED.ice | 22532 ++++++++++++++ examples/Icebreaker/03-riscv-stop-watch.ice | 28384 ++++++++++++++++++ 3 files changed, 53425 insertions(+) create mode 100644 examples/Icebreaker/01-LEDs-buttons.ice create mode 100644 examples/Icebreaker/02-jumping-LED.ice create mode 100644 examples/Icebreaker/03-riscv-stop-watch.ice diff --git a/examples/Icebreaker/01-LEDs-buttons.ice b/examples/Icebreaker/01-LEDs-buttons.ice new file mode 100644 index 0000000..5cf5d8f --- /dev/null +++ b/examples/Icebreaker/01-LEDs-buttons.ice @@ -0,0 +1,2509 @@ +{ + "version": "1.2", + "package": { + "name": "", + "version": "", + "description": "", + "author": "", + "image": "" + }, + "design": { + "board": "iCEBreaker", + "graph": { + "blocks": [ + { + "id": "d0a2175e-7628-4897-9129-971450aedb1b", + "type": "basic.output", + "data": { + "name": "LED (Red)", + "pins": [ + { + "index": "0", + "name": "LED1", + "value": "26" + } + ], + "virtual": false + }, + "position": { + "x": 296, + "y": -88 + } + }, + { + "id": "afbc8317-ef7a-456b-b35b-d744b0634bdb", + "type": "basic.input", + "data": { + "name": "Button", + "pins": [ + { + "index": "0", + "name": "BTN", + "value": "10" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 128, + "y": 104 + } + }, + { + "id": "a0dc752d-7cdf-4848-9756-16f966bdcc53", + "type": "basic.output", + "data": { + "name": "LED", + "pins": [ + { + "index": "0", + "name": "LEDR", + "value": "11" + } + ], + "virtual": false + }, + "position": { + "x": 480, + "y": 104 + } + }, + { + "id": "e11fec03-7ebb-415e-b1f7-51bf463f48d8", + "type": "basic.output", + "data": { + "name": "LEDs", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "LED5", + "value": "21" + }, + { + "index": "2", + "name": "LED3", + "value": "25" + }, + { + "index": "1", + "name": "LED4", + "value": "23" + }, + { + "index": "0", + "name": "LED2", + "value": "27" + } + ], + "virtual": false + }, + "position": { + "x": 1240, + "y": 128 + } + }, + { + "id": "0b50569e-e24d-4f44-b497-73a084cfd4c8", + "type": "basic.output", + "data": { + "name": "LED", + "pins": [ + { + "index": "0", + "name": "LEDG", + "value": "37" + } + ], + "virtual": false + }, + "position": { + "x": 480, + "y": 208 + } + }, + { + "id": "e4bfc19d-a4ff-4edb-b4f1-56fe77bdd0a4", + "type": "basic.input", + "data": { + "name": "Button", + "pins": [ + { + "index": "0", + "name": "BTN1", + "value": "20" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 880, + "y": 352 + } + }, + { + "id": "042f981b-c41d-45aa-a1ed-566201f6162f", + "type": "basic.output", + "data": { + "name": "LED (Green)", + "pins": [ + { + "index": "0", + "name": "LED_G", + "value": "40" + } + ], + "virtual": false + }, + "position": { + "x": 312, + "y": 392 + } + }, + { + "id": "fdbb3be1-196c-47e5-8937-4d9fc75a0426", + "type": "basic.constant", + "data": { + "name": "Constant", + "value": "4'hA", + "local": false + }, + "position": { + "x": 712, + "y": 64 + } + }, + { + "id": "ae6ac6ef-5685-40ca-bd4e-eff947e20148", + "type": "basic.constant", + "data": { + "name": "Constant", + "value": "4'h5", + "local": false + }, + "position": { + "x": 848, + "y": -16 + } + }, + { + "id": "51f42e2c-4965-4293-b13f-d1644b32a276", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 128, + "y": -88 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "99273725-132c-4791-b7dd-7ade3777e67d", + "type": "basic.info", + "data": { + "info": "### Turn on LED7", + "readonly": true + }, + "position": { + "x": 192, + "y": -152 + }, + "size": { + "width": 200, + "height": 32 + } + }, + { + "id": "b0cc04dd-8331-4f40-8edf-aef4528e484d", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 320, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9228a029-2c8c-4b0f-972e-c66ccc5b5efa", + "type": "basic.info", + "data": { + "info": "### Change LEDs 5 and 6 when pressing sw1 button", + "readonly": true + }, + "position": { + "x": 136, + "y": 48 + }, + "size": { + "width": 456, + "height": 32 + } + }, + { + "id": "506609f1-9003-4c05-97c8-2f9e96a522da", + "type": "basic.info", + "data": { + "info": "### Turn off LED4", + "readonly": true + }, + "position": { + "x": 208, + "y": 328 + }, + "size": { + "width": 200, + "height": 32 + } + }, + { + "id": "419afa01-c437-4949-90e3-57f3387b9cf6", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 144, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ed46efa6-0bc3-43b1-aa2a-30de27bcc5b3", + "type": "9b9118b2e5d192560784b8047d751099f910c102", + "position": { + "x": 848, + "y": 96 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ecabf3c3-efc4-4bc9-a177-fb74b0df54af", + "type": "9b9118b2e5d192560784b8047d751099f910c102", + "position": { + "x": 712, + "y": 176 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "388290dc-7a5c-468f-a0fa-b49e9a05becb", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", + "position": { + "x": 1072, + "y": 160 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "82df9f68-bfb9-402f-a170-bed0809f5b34", + "type": "basic.info", + "data": { + "info": "### Display two constants on 4 LEDs", + "readonly": true + }, + "position": { + "x": 768, + "y": -88 + }, + "size": { + "width": 456, + "height": 32 + } + }, + { + "id": "310a9d2b-0ce9-48bd-9dad-27fe8591efc0", + "type": "basic.info", + "data": { + "info": "Press for displaying the \nother constant on the LEDs", + "readonly": true + }, + "position": { + "x": 816, + "y": 288 + }, + "size": { + "width": 256, + "height": 56 + } + }, + { + "id": "65f463c9-91d8-4a92-aafa-fa050e5fc32b", + "type": "basic.info", + "data": { + "info": "# Icebreaker: LEDs and Buttons test\n\nTesting the LEDs and buttons", + "readonly": true + }, + "position": { + "x": 80, + "y": -304 + }, + "size": { + "width": 568, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "51f42e2c-4965-4293-b13f-d1644b32a276", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "d0a2175e-7628-4897-9129-971450aedb1b", + "port": "in" + } + }, + { + "source": { + "block": "b0cc04dd-8331-4f40-8edf-aef4528e484d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0b50569e-e24d-4f44-b497-73a084cfd4c8", + "port": "in" + } + }, + { + "source": { + "block": "afbc8317-ef7a-456b-b35b-d744b0634bdb", + "port": "out" + }, + "target": { + "block": "a0dc752d-7cdf-4848-9756-16f966bdcc53", + "port": "in" + } + }, + { + "source": { + "block": "afbc8317-ef7a-456b-b35b-d744b0634bdb", + "port": "out" + }, + "target": { + "block": "b0cc04dd-8331-4f40-8edf-aef4528e484d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "419afa01-c437-4949-90e3-57f3387b9cf6", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "042f981b-c41d-45aa-a1ed-566201f6162f", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "388290dc-7a5c-468f-a0fa-b49e9a05becb", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "e11fec03-7ebb-415e-b1f7-51bf463f48d8", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "e4bfc19d-a4ff-4edb-b4f1-56fe77bdd0a4", + "port": "out" + }, + "target": { + "block": "388290dc-7a5c-468f-a0fa-b49e9a05becb", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "fdbb3be1-196c-47e5-8937-4d9fc75a0426", + "port": "constant-out" + }, + "target": { + "block": "ecabf3c3-efc4-4bc9-a177-fb74b0df54af", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "ecabf3c3-efc4-4bc9-a177-fb74b0df54af", + "port": "6cee4c7b-0e73-4066-a2d8-d8bcda4b5688" + }, + "target": { + "block": "388290dc-7a5c-468f-a0fa-b49e9a05becb", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "ed46efa6-0bc3-43b1-aa2a-30de27bcc5b3", + "port": "6cee4c7b-0e73-4066-a2d8-d8bcda4b5688" + }, + "target": { + "block": "388290dc-7a5c-468f-a0fa-b49e9a05becb", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d" + }, + "vertices": [ + { + "x": 992, + "y": 144 + } + ], + "size": 4 + }, + { + "source": { + "block": "ae6ac6ef-5685-40ca-bd4e-eff947e20148", + "port": "constant-out" + }, + "target": { + "block": "ed46efa6-0bc3-43b1-aa2a-30de27bcc5b3", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + } + ] + } + }, + "dependencies": { + "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { + "package": { + "name": "bit-1", + "version": "0.2", + "description": "Constant bit 1", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "9b9118b2e5d192560784b8047d751099f910c102": { + "package": { + "name": "4-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 4-bits generic constant (0-15)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6cee4c7b-0e73-4066-a2d8-d8bcda4b5688", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 944, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "6cee4c7b-0e73-4066-a2d8-d8bcda4b5688", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "952eda35358117b68b3f8a2489e9dc86168d0144": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": -72 + } + }, + { + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 0 + } + }, + { + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 72 + } + }, + { + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 160 + } + }, + { + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 160 + } + }, + { + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 224 + } + }, + { + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 232 + } + }, + { + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 240 + } + }, + { + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 432, + "y": 296 + } + }, + { + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 304 + } + }, + { + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 360 + } + }, + { + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 400 + } + }, + { + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1256, + "y": 416 + } + }, + { + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 456, + "y": 464 + } + }, + { + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 488 + } + }, + { + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 464, + "y": 528 + } + }, + { + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 544 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 560 + } + }, + { + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 464, + "y": 592 + } + }, + { + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0" + }, + "position": { + "x": 720, + "y": 648 + } + }, + { + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 648 + } + }, + { + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": 720 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 104, + "y": 728 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 264, + "y": 728 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 800 + } + }, + { + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 472 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 864, + "y": 704 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 304, + "y": 128 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 304, + "y": 480 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 216 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 1040, + "y": 384 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": -16 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 288, + "y": 512 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 280, + "y": 208 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "port": "inlabel" + } + }, + { + "source": { + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "port": "inlabel" + } + }, + { + "source": { + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "port": "inlabel" + } + }, + { + "source": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 984, + "y": 472 + } + ] + }, + { + "source": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 976, + "y": 352 + } + ] + }, + { + "source": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" + }, + "target": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" + }, + "target": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + } + ] + } + } + }, + "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 456, + "y": 360 + } + }, + { + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1096, + "y": 392 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 136, + "y": 416 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": 416 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 136, + "y": 528 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": 552 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 376, + "y": 656 + } + }, + { + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" + }, + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + } + } +} \ No newline at end of file diff --git a/examples/Icebreaker/02-jumping-LED.ice b/examples/Icebreaker/02-jumping-LED.ice new file mode 100644 index 0000000..9e47533 --- /dev/null +++ b/examples/Icebreaker/02-jumping-LED.ice @@ -0,0 +1,22532 @@ +{ + "version": "1.2", + "package": { + "name": "", + "version": "", + "description": "", + "author": "", + "image": "" + }, + "design": { + "board": "iCEBreaker", + "graph": { + "blocks": [ + { + "id": "a9388941-f0a9-4001-856a-da6de808eebe", + "type": "basic.output", + "data": { + "name": "LED", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "P2_9", + "value": "20" + }, + { + "index": "6", + "name": "LED_B", + "value": "41" + }, + { + "index": "5", + "name": "LED_G", + "value": "40" + }, + { + "index": "4", + "name": "LED_R", + "value": "39" + }, + { + "index": "3", + "name": "LED5", + "value": "21" + }, + { + "index": "2", + "name": "LED3", + "value": "25" + }, + { + "index": "1", + "name": "LED4", + "value": "23" + }, + { + "index": "0", + "name": "LED2", + "value": "27" + } + ], + "virtual": false + }, + "position": { + "x": 1640, + "y": -536 + } + }, + { + "id": "e5b100b9-0488-465e-a81c-9e84aa7e21bc", + "type": "basic.inputLabel", + "data": { + "name": "acel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "turquoise", + "oldBlockColor": "lightseagreen" + }, + "position": { + "x": 608, + "y": -440 + } + }, + { + "id": "e4850d75-4eba-4c82-be4a-31a7d5ef73cd", + "type": "basic.inputLabel", + "data": { + "blockColor": "orangered", + "name": "update", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": -280, + "y": -400 + } + }, + { + "id": "aff4a4dc-9835-434e-865f-79248bc18a1f", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "pos", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1136, + "y": -392 + } + }, + { + "id": "21b2942a-a7d2-46a1-93bf-0192ed1fd0be", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "state", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -624, + "y": -384 + } + }, + { + "id": "01f745f0-0465-40f1-bc5a-d09d036ddb90", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "start", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 264, + "y": -360 + } + }, + { + "id": "1613c168-3a0d-439f-9531-fd2ef8d572ae", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "start", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -792, + "y": -136 + } + }, + { + "id": "37f78880-ff3e-4ca4-a0eb-5b9e248d09b8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "state", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -432, + "y": -136 + } + }, + { + "id": "e87ad63b-c565-4971-be5b-2aca39ea3b25", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "stop", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -792, + "y": -48 + } + }, + { + "id": "fe659f5e-8f4a-47a9-a130-97ee8c6f7a10", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "acel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 624, + "y": -16 + } + }, + { + "id": "de6bd0ec-1152-419a-b895-a71755ce6e88", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "nvel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 928, + "y": 40 + } + }, + { + "id": "00832cbb-4e33-4179-afe3-fd5b2a345616", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "nvel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 120, + "y": 40 + } + }, + { + "id": "95358407-9fa2-4ba5-b753-fae551e763c8", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "pos", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1168, + "y": 56 + } + }, + { + "id": "d003453b-454e-4933-80fe-4b4cc7e024f9", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "stop", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1608, + "y": 56 + } + }, + { + "id": "9301a180-16ca-435a-b714-188b28098539", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "start", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -240, + "y": 88 + } + }, + { + "id": "177418e7-a37e-4c1f-9a2c-62037c472fce", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "start", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 120, + "y": 96 + } + }, + { + "id": "4fe3fbff-c51c-424e-a4cd-40b08ca9cdcd", + "type": "basic.inputLabel", + "data": { + "blockColor": "royalblue", + "name": "vel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 624, + "y": 128 + } + }, + { + "id": "fc93c345-1129-421c-8c1c-e2aa839e9018", + "type": "basic.outputLabel", + "data": { + "blockColor": "orangered", + "name": "update", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 224, + "y": 168 + } + }, + { + "id": "210a8dd9-b351-4281-b981-b7d2cba2267a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "vel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1192, + "y": 184 + } + }, + { + "id": "585961ab-9c14-4048-8815-398e768538e1", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "start", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 224, + "y": 232 + } + }, + { + "id": "1f242fef-660b-4cd1-944f-941c03a827fc", + "type": "basic.input", + "data": { + "name": "Botón", + "pins": [ + { + "index": "0", + "name": "BTN", + "value": "10" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": -728, + "y": 240 + } + }, + { + "id": "d3f413b0-5bf2-4ac1-a02a-9d526a7fc156", + "type": "basic.outputLabel", + "data": { + "blockColor": "royalblue", + "name": "vel", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 472 + } + }, + { + "id": "9547e333-6942-4ed4-beaf-777c43e589a3", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "npos", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 728, + "y": 544 + } + }, + { + "id": "3bb24d47-9a59-4f5e-bb1c-b0925b89d906", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "npos", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 96, + "y": 544 + } + }, + { + "id": "b2081b1a-482c-457a-a0e5-c5bdd031bf32", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "pos", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 408, + "y": 592 + } + }, + { + "id": "cf030c14-6232-488c-900a-1fd6e5ba8452", + "type": "basic.outputLabel", + "data": { + "blockColor": "orangered", + "name": "update", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 96, + "y": 616 + } + }, + { + "id": "f2424206-24db-4891-8230-7b46645bb843", + "type": "basic.constant", + "data": { + "name": "Divisor", + "value": "240000", + "local": false + }, + "position": { + "x": -616, + "y": -568 + } + }, + { + "id": "06f3756c-fbb6-49ac-9267-ee05634871b5", + "type": "basic.constant", + "data": { + "name": "Inverter", + "value": "1", + "local": false + }, + "position": { + "x": -528, + "y": 104 + } + }, + { + "id": "1d472823-67b1-49e3-8574-7c7d1a3ce041", + "type": "basic.constant", + "data": { + "name": "vel_ini", + "value": "20", + "local": false + }, + "position": { + "x": 128, + "y": -152 + } + }, + { + "id": "7c44a6eb-2b9a-48e5-924b-95ce5cf01a86", + "type": "basic.constant", + "data": { + "name": "acel_ini", + "value": "-2", + "local": false + }, + "position": { + "x": 264, + "y": -552 + } + }, + { + "id": "c47ccf6c-47ba-4711-bfdf-fcfdba0e44cf", + "type": "75c864ff73843859021048cd134a634bcc2cf5fa", + "position": { + "x": 1480, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b54ffc5d-496b-4bec-8ad2-086e254a7bb4", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 1312, + "y": -504 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "41e43728-c82b-45e3-b60b-8a304cf390b4", + "type": "888484044ba40fc8b8549d9bea5e6b5c2ea0c3b7", + "position": { + "x": -552, + "y": 224 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "74d403db-0898-47d3-a216-96c70ca14a5b", + "type": "bce5419e3f610faf24e9aca0d99a6a6a559ac37b", + "position": { + "x": -616, + "y": -472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "8b8a9a27-d4b7-406d-b3c2-1b348385988a", + "type": "857d2eb32ca5c8d6febf39be6bb15e7fd8848bbd", + "position": { + "x": 280, + "y": 528 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "cfeb1ec6-f09b-4b67-a92e-2731b38eca99", + "type": "9c1f69be3acbabd24de495cbbb335ca72ec4e886", + "position": { + "x": 1288, + "y": -392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c698f7c4-5cfd-4e6c-9386-5b2be7b164b4", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 568, + "y": 528 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a4ab1379-ffab-4a45-949b-dbc9d3c09367", + "type": "89d2342d9664348db3e70e823cacbc8eb24ea3d0", + "position": { + "x": 496, + "y": 24 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "1dbecac2-c2bd-43df-a945-704d10fde2e0", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 776, + "y": 24 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1348701d-dce8-444a-97f6-0dc9230beb31", + "type": "ffc517ae50d4171640702dac38a546757cc9ae35", + "position": { + "x": 264, + "y": -440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e1375eb0-662f-4a74-bb05-ceb853f836d5", + "type": "1bbb5bf71da86eaa4330fad867f6aefc679a4433", + "position": { + "x": 312, + "y": 24 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a5153475-f4b3-4278-9ed7-115132abb081", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 352, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2929ed10-457a-4ba7-8af4-e9023d9581d3", + "type": "ffc517ae50d4171640702dac38a546757cc9ae35", + "position": { + "x": 128, + "y": -40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d2a1eb4a-9a02-45a1-91d0-b8d18c21bd30", + "type": "89d2342d9664348db3e70e823cacbc8eb24ea3d0", + "position": { + "x": 456, + "y": -456 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ec5c682f-5e3c-4e45-931b-2741b32292c6", + "type": "78be078f7f5f29f81b4664a2bc3f6c05c1daf4f2", + "position": { + "x": 1344, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0a981c95-7f22-48bd-93ce-88a2c30d407a", + "type": "b2762a8f01edc037b9273378035d64a7172f3187", + "position": { + "x": 1336, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f180c357-5d54-4dd5-b05e-2927bdb86499", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1472, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "11cb9ef4-8d15-498e-a74d-1448eeb79bdf", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": -416, + "y": -400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "613be3a8-d5cd-4f99-b8d8-310a3a4863ba", + "type": "4c1570e58dc7efecf440f8a4ff7d1dda4250ef0c", + "position": { + "x": -640, + "y": -152 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "48428321-aeee-443b-85ee-9930b09eb5b6", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": -504, + "y": -48 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9f70d7a7-80fc-48a8-8208-32eaa6beb869", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": -376, + "y": 88 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "81a261d2-86ba-42a7-bc7d-5c1f689f674a", + "type": "basic.info", + "data": { + "info": "## Display the position of the particle on the LEDs", + "readonly": true + }, + "position": { + "x": 1208, + "y": -616 + }, + "size": { + "width": 552, + "height": 72 + } + }, + { + "id": "54e84fb0-4b33-4415-b98c-2050688f201a", + "type": "basic.info", + "data": { + "info": "3-8 Demux", + "readonly": true + }, + "position": { + "x": 1496, + "y": -464 + }, + "size": { + "width": 104, + "height": 40 + } + }, + { + "id": "b54527f2-c12c-440a-8dc1-86a978fb7a6c", + "type": "basic.info", + "data": { + "info": "Particle position", + "readonly": true + }, + "position": { + "x": 1128, + "y": -424 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "dee16510-6958-4d14-926f-b4ffc809f757", + "type": "basic.info", + "data": { + "info": "Only one LED is ON \nat a time", + "readonly": true + }, + "position": { + "x": 1480, + "y": -336 + }, + "size": { + "width": 176, + "height": 56 + } + }, + { + "id": "c0f14d80-1ce2-4084-87cb-e524740fc1b6", + "type": "basic.info", + "data": { + "info": "Particle position", + "readonly": true + }, + "position": { + "x": 232, + "y": 480 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "0ca17cf8-a0e5-49c4-9d81-2b78684d86b4", + "type": "basic.info", + "data": { + "info": "Next position", + "readonly": true + }, + "position": { + "x": 736, + "y": 488 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "d0d4b164-0975-48e0-b957-43848dcca4af", + "type": "basic.info", + "data": { + "info": "50Hz signal", + "readonly": true + }, + "position": { + "x": -496, + "y": -488 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "b31f5a7c-2fd0-40ac-a0ad-8f17c69f6f68", + "type": "basic.info", + "data": { + "info": "Jump state:\n* 0: Not jumping\n* 1: Jumping", + "readonly": true + }, + "position": { + "x": -792, + "y": -248 + }, + "size": { + "width": 216, + "height": 72 + } + }, + { + "id": "f6eddc37-3973-48b5-a40a-30efbb3ee8c0", + "type": "basic.info", + "data": { + "info": "50Hz signal that is \nonly active during the jump", + "readonly": true + }, + "position": { + "x": -272, + "y": -456 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "d6a2ba05-0683-4cc0-93ee-89109697dabb", + "type": "basic.info", + "data": { + "info": "Boton pressed and \nthe state is NOT jumping", + "readonly": true + }, + "position": { + "x": -304, + "y": 32 + }, + "size": { + "width": 248, + "height": 56 + } + }, + { + "id": "d024483d-373c-466d-97af-8437762adf44", + "type": "basic.info", + "data": { + "info": "## Update signal generator", + "readonly": true + }, + "position": { + "x": -624, + "y": -640 + }, + "size": { + "width": 408, + "height": 48 + } + }, + { + "id": "94ee7960-7438-48a9-b33f-810562a23690", + "type": "basic.info", + "data": { + "info": "## State Machine", + "readonly": true + }, + "position": { + "x": -608, + "y": -248 + }, + "size": { + "width": 320, + "height": 40 + } + }, + { + "id": "20bb95e7-d65f-4dbf-87b6-1a22c96731bc", + "type": "basic.info", + "data": { + "info": "Pos == 0", + "readonly": true + }, + "position": { + "x": 1400, + "y": 0 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "7e501446-bf3a-4364-a5e4-574e6225365f", + "type": "da0861afd3d2e1db0b7bde922cdad9c4a1258652", + "position": { + "x": 1168, + "y": -24 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4668c986-8bac-4cfc-9e30-67e857081b5a", + "type": "basic.info", + "data": { + "info": "Vel < 0", + "readonly": true + }, + "position": { + "x": 1336, + "y": 136 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "72fae1b3-6a94-4e9e-8e8c-377ae507f4c0", + "type": "basic.info", + "data": { + "info": "## Stop condition\n\nThe jump stos when the position is 0 and the velocity es negative ", + "readonly": true + }, + "position": { + "x": 1168, + "y": -136 + }, + "size": { + "width": 552, + "height": 72 + } + }, + { + "id": "46ac9969-7446-4ca4-ab43-9786b62df96c", + "type": "basic.info", + "data": { + "info": "Start signal: The jump \nstarts now!", + "readonly": true + }, + "position": { + "x": -232, + "y": 168 + }, + "size": { + "width": 272, + "height": 64 + } + }, + { + "id": "39e9d1e1-d9c9-47d9-a3da-fcf491658c57", + "type": "basic.info", + "data": { + "info": "Particle Acceleration", + "readonly": true + }, + "position": { + "x": 440, + "y": -512 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "16f7a354-a119-46eb-a97a-4ba476bdd4c6", + "type": "basic.info", + "data": { + "info": "## Particle acceleration", + "readonly": true + }, + "position": { + "x": 256, + "y": -640 + }, + "size": { + "width": 408, + "height": 48 + } + }, + { + "id": "e37800e3-025c-442d-997f-2246fdd7ddae", + "type": "basic.info", + "data": { + "info": "Initial acceleration", + "readonly": true + }, + "position": { + "x": 376, + "y": -576 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "85aa6667-8add-48b6-8c67-35a21bd339cb", + "type": "basic.info", + "data": { + "info": "Particle velocity", + "readonly": true + }, + "position": { + "x": 488, + "y": -40 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "4282116e-25dc-4fc5-b186-9c3911ab3aaf", + "type": "basic.info", + "data": { + "info": "Initial velocity", + "readonly": true + }, + "position": { + "x": 248, + "y": -144 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "2ae71a43-3eae-466a-b9af-789a80afb2ae", + "type": "basic.info", + "data": { + "info": "Next velocity", + "readonly": true + }, + "position": { + "x": 936, + "y": 8 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "33370141-4c4f-4216-a203-51ad5e8b3eab", + "type": "basic.info", + "data": { + "info": "## Particle velocity", + "readonly": true + }, + "position": { + "x": 128, + "y": -224 + }, + "size": { + "width": 408, + "height": 48 + } + }, + { + "id": "b471ba42-dd01-4028-9abc-966255bcf92e", + "type": "basic.info", + "data": { + "info": "## Particle position", + "readonly": true + }, + "position": { + "x": 136, + "y": 384 + }, + "size": { + "width": 408, + "height": 48 + } + }, + { + "id": "50825a8f-45a3-4c36-a592-302ba61f427d", + "type": "basic.info", + "data": { + "info": "# Icebreaker: JUMPING LED\n\nWhen the button is pressed a particle moves up and down, \nsimulating a jump (with gravity). The particle is shown \non the LEDs", + "readonly": true + }, + "position": { + "x": -712, + "y": 464 + }, + "size": { + "width": 536, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "8b8a9a27-d4b7-406d-b3c2-1b348385988a", + "port": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5" + }, + "target": { + "block": "b2081b1a-482c-457a-a0e5-c5bdd031bf32", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "aff4a4dc-9835-434e-865f-79248bc18a1f", + "port": "outlabel" + }, + "target": { + "block": "cfeb1ec6-f09b-4b67-a92e-2731b38eca99", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "c698f7c4-5cfd-4e6c-9386-5b2be7b164b4", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "9547e333-6942-4ed4-beaf-777c43e589a3", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3bb24d47-9a59-4f5e-bb1c-b0925b89d906", + "port": "outlabel" + }, + "target": { + "block": "8b8a9a27-d4b7-406d-b3c2-1b348385988a", + "port": "068619e3-63e0-40f4-9146-b5e6ec447362" + }, + "size": 8 + }, + { + "source": { + "block": "cf030c14-6232-488c-900a-1fd6e5ba8452", + "port": "outlabel" + }, + "target": { + "block": "8b8a9a27-d4b7-406d-b3c2-1b348385988a", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + } + }, + { + "source": { + "block": "d3f413b0-5bf2-4ac1-a02a-9d526a7fc156", + "port": "outlabel" + }, + "target": { + "block": "c698f7c4-5cfd-4e6c-9386-5b2be7b164b4", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "a4ab1379-ffab-4a45-949b-dbc9d3c09367", + "port": "d3e4f800-c611-4f65-88e7-8b7d2def0021" + }, + "target": { + "block": "4fe3fbff-c51c-424e-a4cd-40b08ca9cdcd", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "1dbecac2-c2bd-43df-a945-704d10fde2e0", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "de6bd0ec-1152-419a-b895-a71755ce6e88", + "port": "inlabel" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "00832cbb-4e33-4179-afe3-fd5b2a345616", + "port": "outlabel" + }, + "target": { + "block": "e1375eb0-662f-4a74-bb05-ceb853f836d5", + "port": "e045f285-627f-42f7-b073-faa36ef6d420" + }, + "size": 8 + }, + { + "source": { + "block": "fc93c345-1129-421c-8c1c-e2aa839e9018", + "port": "outlabel" + }, + "target": { + "block": "a5153475-f4b3-4278-9ed7-115132abb081", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "585961ab-9c14-4048-8815-398e768538e1", + "port": "outlabel" + }, + "target": { + "block": "a5153475-f4b3-4278-9ed7-115132abb081", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "177418e7-a37e-4c1f-9a2c-62037c472fce", + "port": "outlabel" + }, + "target": { + "block": "e1375eb0-662f-4a74-bb05-ceb853f836d5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "d2a1eb4a-9a02-45a1-91d0-b8d18c21bd30", + "port": "d3e4f800-c611-4f65-88e7-8b7d2def0021" + }, + "target": { + "block": "e5b100b9-0488-465e-a81c-9e84aa7e21bc", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "01f745f0-0465-40f1-bc5a-d09d036ddb90", + "port": "outlabel" + }, + "target": { + "block": "d2a1eb4a-9a02-45a1-91d0-b8d18c21bd30", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + } + }, + { + "source": { + "block": "fe659f5e-8f4a-47a9-a130-97ee8c6f7a10", + "port": "outlabel" + }, + "target": { + "block": "1dbecac2-c2bd-43df-a945-704d10fde2e0", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3" + }, + "size": 8 + }, + { + "source": { + "block": "210a8dd9-b351-4281-b981-b7d2cba2267a", + "port": "outlabel" + }, + "target": { + "block": "ec5c682f-5e3c-4e45-931b-2741b32292c6", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "95358407-9fa2-4ba5-b753-fae551e763c8", + "port": "outlabel" + }, + "target": { + "block": "0a981c95-7f22-48bd-93ce-88a2c30d407a", + "port": "ab9bcc04-115a-4689-8d9c-b191681a3dde" + }, + "size": 8 + }, + { + "source": { + "block": "f180c357-5d54-4dd5-b05e-2927bdb86499", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "d003453b-454e-4933-80fe-4b4cc7e024f9", + "port": "inlabel" + } + }, + { + "source": { + "block": "11cb9ef4-8d15-498e-a74d-1448eeb79bdf", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "e4850d75-4eba-4c82-be4a-31a7d5ef73cd", + "port": "inlabel" + } + }, + { + "source": { + "block": "1613c168-3a0d-439f-9531-fd2ef8d572ae", + "port": "outlabel" + }, + "target": { + "block": "613be3a8-d5cd-4f99-b8d8-310a3a4863ba", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e87ad63b-c565-4971-be5b-2aca39ea3b25", + "port": "outlabel" + }, + "target": { + "block": "613be3a8-d5cd-4f99-b8d8-310a3a4863ba", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "9f70d7a7-80fc-48a8-8208-32eaa6beb869", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "9301a180-16ca-435a-b714-188b28098539", + "port": "inlabel" + } + }, + { + "source": { + "block": "c47ccf6c-47ba-4711-bfdf-fcfdba0e44cf", + "port": "c483e4b6-0fda-4ec2-8ff3-93663e45bfcb" + }, + "target": { + "block": "a9388941-f0a9-4001-856a-da6de808eebe", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "b54ffc5d-496b-4bec-8ad2-086e254a7bb4", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "c47ccf6c-47ba-4711-bfdf-fcfdba0e44cf", + "port": "9b0ef1de-08bf-4069-8e44-abbf45d6e31b" + } + }, + { + "source": { + "block": "1f242fef-660b-4cd1-944f-941c03a827fc", + "port": "out" + }, + "target": { + "block": "41e43728-c82b-45e3-b60b-8a304cf390b4", + "port": "21bc142d-a93a-430d-b37a-326435def9f9" + } + }, + { + "source": { + "block": "f2424206-24db-4891-8230-7b46645bb843", + "port": "constant-out" + }, + "target": { + "block": "74d403db-0898-47d3-a216-96c70ca14a5b", + "port": "3ac469d7-5e0e-4b49-b0a5-1a2c7ea264d7" + } + }, + { + "source": { + "block": "cfeb1ec6-f09b-4b67-a92e-2731b38eca99", + "port": "e2c79729-2f74-41e5-b3a3-d2319a53c0ae" + }, + "target": { + "block": "c47ccf6c-47ba-4711-bfdf-fcfdba0e44cf", + "port": "13ca63af-0f5f-4f0a-bd70-9d393b476d7d" + }, + "size": 3 + }, + { + "source": { + "block": "8b8a9a27-d4b7-406d-b3c2-1b348385988a", + "port": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5" + }, + "target": { + "block": "c698f7c4-5cfd-4e6c-9386-5b2be7b164b4", + "port": "63477487-9493-4058-a7e1-9bab443ec466" + }, + "size": 8 + }, + { + "source": { + "block": "a4ab1379-ffab-4a45-949b-dbc9d3c09367", + "port": "d3e4f800-c611-4f65-88e7-8b7d2def0021" + }, + "target": { + "block": "1dbecac2-c2bd-43df-a945-704d10fde2e0", + "port": "63477487-9493-4058-a7e1-9bab443ec466" + }, + "size": 8 + }, + { + "source": { + "block": "7c44a6eb-2b9a-48e5-924b-95ce5cf01a86", + "port": "constant-out" + }, + "target": { + "block": "1348701d-dce8-444a-97f6-0dc9230beb31", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "e1375eb0-662f-4a74-bb05-ceb853f836d5", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4" + }, + "target": { + "block": "a4ab1379-ffab-4a45-949b-dbc9d3c09367", + "port": "42b35cc2-aa64-4e66-be42-169958246799" + }, + "size": 8 + }, + { + "source": { + "block": "a5153475-f4b3-4278-9ed7-115132abb081", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "a4ab1379-ffab-4a45-949b-dbc9d3c09367", + "port": "065ea371-8398-43b3-8341-287c234a3acb" + } + }, + { + "source": { + "block": "1d472823-67b1-49e3-8574-7c7d1a3ce041", + "port": "constant-out" + }, + "target": { + "block": "2929ed10-457a-4ba7-8af4-e9023d9581d3", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] + }, + { + "source": { + "block": "2929ed10-457a-4ba7-8af4-e9023d9581d3", + "port": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917" + }, + "target": { + "block": "e1375eb0-662f-4a74-bb05-ceb853f836d5", + "port": "520455a3-592c-4fd0-ade9-62d366c88919" + }, + "size": 8 + }, + { + "source": { + "block": "1348701d-dce8-444a-97f6-0dc9230beb31", + "port": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917" + }, + "target": { + "block": "d2a1eb4a-9a02-45a1-91d0-b8d18c21bd30", + "port": "42b35cc2-aa64-4e66-be42-169958246799" + }, + "size": 8 + }, + { + "source": { + "block": "7e501446-bf3a-4364-a5e4-574e6225365f", + "port": "56102125-3ee5-4ee9-94d5-e66dfcacb7de" + }, + "target": { + "block": "0a981c95-7f22-48bd-93ce-88a2c30d407a", + "port": "44eeec20-79b3-40e8-a9b9-df85c51cec3c" + }, + "size": 8 + }, + { + "source": { + "block": "0a981c95-7f22-48bd-93ce-88a2c30d407a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f180c357-5d54-4dd5-b05e-2927bdb86499", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "ec5c682f-5e3c-4e45-931b-2741b32292c6", + "port": "6461d1f4-0bf2-46a4-8c57-46d4419eba2b" + }, + "target": { + "block": "f180c357-5d54-4dd5-b05e-2927bdb86499", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "74d403db-0898-47d3-a216-96c70ca14a5b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "11cb9ef4-8d15-498e-a74d-1448eeb79bdf", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "613be3a8-d5cd-4f99-b8d8-310a3a4863ba", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "48428321-aeee-443b-85ee-9930b09eb5b6", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "48428321-aeee-443b-85ee-9930b09eb5b6", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "9f70d7a7-80fc-48a8-8208-32eaa6beb869", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "41e43728-c82b-45e3-b60b-8a304cf390b4", + "port": "997db8c4-b772-49d8-83e7-4427aff720e6" + }, + "target": { + "block": "9f70d7a7-80fc-48a8-8208-32eaa6beb869", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "613be3a8-d5cd-4f99-b8d8-310a3a4863ba", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "37f78880-ff3e-4ca4-a0eb-5b9e248d09b8", + "port": "inlabel" + } + }, + { + "source": { + "block": "21b2942a-a7d2-46a1-93bf-0192ed1fd0be", + "port": "outlabel" + }, + "target": { + "block": "11cb9ef4-8d15-498e-a74d-1448eeb79bdf", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "06f3756c-fbb6-49ac-9267-ee05634871b5", + "port": "constant-out" + }, + "target": { + "block": "41e43728-c82b-45e3-b60b-8a304cf390b4", + "port": "1ff8ad12-4df4-4aee-922b-c0c0fb449926" + } + } + ] + } + }, + "dependencies": { + "75c864ff73843859021048cd134a634bcc2cf5fa": { + "package": { + "name": "Demux-3-8", + "version": "0.1", + "description": "Demultiplexor de 1 bit, de 3 a 8 (salida de bus)", + "author": "Juan Gonzalez-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2246.347%22%20height=%22182.066%22%20viewBox=%220%200%2043.450545%20170.68778%22%3E%3Cpath%20d=%22M42.044%2021.324c0-7.134-3.893-13.724-10.206-17.275a20.674%2020.674%200%200%200-20.365.08C5.189%207.728%201.349%2014.347%201.407%2021.481v127.723c-.058%207.135%203.782%2013.755%2010.066%2017.355a20.674%2020.674%200%200%200%2020.365.079c6.313-3.551%2010.206-10.14%2010.206-17.275z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%2270.768%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%2270.768%22%3E2%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.018%22%20y=%2227.719%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.018%22%20y=%2227.719%22%3E3%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22113.534%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22113.534%22%3E1%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2216.068%22%20y=%22156.655%22%20font-weight=%22400%22%20font-size=%2218.75%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2216.068%22%20y=%22156.655%22%3E0%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "9b0ef1de-08bf-4069-8e44-abbf45d6e31b", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 56, + "y": 160 + } + }, + { + "id": "c483e4b6-0fda-4ec2-8ff3-93663e45bfcb", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 184 + } + }, + { + "id": "13ca63af-0f5f-4f0a-bd70-9d393b476d7d", + "type": "basic.input", + "data": { + "name": "sel", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 64, + "y": 240 + } + }, + { + "id": "75e26152-91fa-4d60-a328-8e48cfb05568", + "type": "basic.code", + "data": { + "code": "assign o = i << sel;\n", + "params": [], + "ports": { + "in": [ + { + "name": "i" + }, + { + "name": "sel", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 240, + "y": 168 + }, + "size": { + "width": 320, + "height": 88 + } + } + ], + "wires": [ + { + "source": { + "block": "9b0ef1de-08bf-4069-8e44-abbf45d6e31b", + "port": "out" + }, + "target": { + "block": "75e26152-91fa-4d60-a328-8e48cfb05568", + "port": "i" + } + }, + { + "source": { + "block": "75e26152-91fa-4d60-a328-8e48cfb05568", + "port": "o" + }, + "target": { + "block": "c483e4b6-0fda-4ec2-8ff3-93663e45bfcb", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "13ca63af-0f5f-4f0a-bd70-9d393b476d7d", + "port": "out" + }, + "target": { + "block": "75e26152-91fa-4d60-a328-8e48cfb05568", + "port": "sel" + }, + "size": 3 + } + ] + } + } + }, + "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { + "package": { + "name": "bit-1", + "version": "0.2", + "description": "Constant bit 1", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "888484044ba40fc8b8549d9bea5e6b5c2ea0c3b7": { + "package": { + "name": "Button-tic", + "version": "0.6", + "description": "Button-tic: Configurable button that emits a tic when it is pressed", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22293.977%22%20height=%22257.958%22%20viewBox=%220%200%2077.781366%2068.251365%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cg%20transform=%22matrix(1.2877%200%200%201.2877%20-70.904%20-45.941)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "653b2ced-3f13-4b1d-a2b6-c330c671067a", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": -32 + } + }, + { + "id": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": -32 + } + }, + { + "id": "b58132b2-2e39-4a85-ab5b-63bded91cecc", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 728, + "y": -16 + } + }, + { + "id": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 312, + "y": 104 + } + }, + { + "id": "b8666bca-0d3d-4cbb-8129-fcea674d428a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 744, + "y": 136 + } + }, + { + "id": "997db8c4-b772-49d8-83e7-4427aff720e6", + "type": "basic.output", + "data": { + "name": "Press" + }, + "position": { + "x": 1024, + "y": 168 + } + }, + { + "id": "21bc142d-a93a-430d-b37a-326435def9f9", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 304, + "y": 200 + } + }, + { + "id": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 448, + "y": 56 + } + }, + { + "id": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 560, + "y": 56 + } + }, + { + "id": "15503ba9-0846-4d76-9fa4-dd8f67da8f08", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 136, + "y": -56 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "8f811ac3-ce9d-4e72-af71-03036c9426e7", + "type": "basic.info", + "data": { + "info": "Button state signal", + "readonly": true + }, + "position": { + "x": 720, + "y": -32 + }, + "size": { + "width": 176, + "height": 40 + } + }, + { + "id": "dee7b550-b7f2-40d7-955d-bfb931daba22", + "type": "basic.info", + "data": { + "info": "Tic: button pressed", + "readonly": true + }, + "position": { + "x": 1024, + "y": 152 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "88b26983-667f-40de-b1c6-c6710a7bbd4a", + "type": "basic.info", + "data": { + "info": "Rising edge detector", + "readonly": true + }, + "position": { + "x": 872, + "y": 248 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "0957ba76-2838-49cb-8fd4-b9fe42f21801", + "type": "basic.info", + "data": { + "info": "Pull up on/off", + "readonly": true + }, + "position": { + "x": 448, + "y": 16 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "5a5caef3-ffd0-46a4-9f63-e55aa8cd750d", + "type": "basic.info", + "data": { + "info": "Not on/off", + "readonly": true + }, + "position": { + "x": 576, + "y": 16 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "55de191a-0bf0-4e2c-b2b9-fde52111025f", + "type": "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb", + "position": { + "x": 888, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "type": "0b641dd31ecc9ec9194efd886de27cadd758656b", + "position": { + "x": 496, + "y": 184 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "653b2ced-3f13-4b1d-a2b6-c330c671067a", + "port": "out" + }, + "target": { + "block": "98209ccc-d0f3-48fc-b10b-c2865b7442fc", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "2f9cf56e-789c-4621-923a-be59ef6ccd4a", + "port": "outlabel" + }, + "target": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" + }, + "vertices": [ + { + "x": 424, + "y": 160 + } + ] + }, + { + "source": { + "block": "b8666bca-0d3d-4cbb-8129-fcea674d428a", + "port": "outlabel" + }, + "target": { + "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "21bc142d-a93a-430d-b37a-326435def9f9", + "port": "out" + }, + "target": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "b58132b2-2e39-4a85-ab5b-63bded91cecc", + "port": "in" + } + }, + { + "source": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + }, + "vertices": [] + }, + { + "source": { + "block": "55de191a-0bf0-4e2c-b2b9-fde52111025f", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "997db8c4-b772-49d8-83e7-4427aff720e6", + "port": "in" + } + }, + { + "source": { + "block": "7f2c89d2-aea0-4ff9-b4f9-52d70cefa867", + "port": "constant-out" + }, + "target": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" + } + }, + { + "source": { + "block": "1ff8ad12-4df4-4aee-922b-c0c0fb449926", + "port": "constant-out" + }, + "target": { + "block": "15a43e9c-0c1f-47fa-a33f-b5b0e9c7f1c8", + "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" + } + } + ] + } + } + }, + "31e84ed10b0b8e1c6ce6cf1f88c55d2e322116fb": { + "package": { + "name": "Rising-edge-detector", + "version": "0.3", + "description": "Rising-edge detector. It generates a 1-period pulse (tic) when a rising edge is detected on the input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22185.363%22%20height=%22183.398%22%20viewBox=%220%200%2049.043981%2048.524089%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M13.478%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2012.436L12.673%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-48.178%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 152, + "y": 152 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 152, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 840, + "y": 400 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Rising edge detector\n\nIt generates a 1-period pulse (tic) when a rising edge is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 176, + "y": -16 + }, + "size": { + "width": 568, + "height": 80 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 160, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 168, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 328, + "y": 456 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "If the current signal is 1 and its value in \nthe previous clock cycle was 0, it means \nthat a rising edge has been detected! \nThe output es 1\n\nIn any other case the output is 0", + "readonly": true + }, + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 344, + "height": 96 + } + }, + { + "id": "c3990bfd-57a6-4602-ab46-800486326dd6", + "type": "basic.info", + "data": { + "info": "**Delay**: 0 clock cycles \n\nThere is no delay between the arrival of a rising edge \nand its detection", + "readonly": true + }, + "position": { + "x": 528, + "y": 504 + }, + "size": { + "width": 416, + "height": 88 + } + }, + { + "id": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "70cfa680-3def-482c-b194-054c1f522357", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 552, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 696, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "34abb6cc-adcc-4b19-9cb6-563ef36542fe", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "70cfa680-3def-482c-b194-054c1f522357", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "49c498a6-eb89-4e72-889d-26e39cea5ad0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + } + ] + } + } + }, + "053dc2e26797e60dd454402e395eb23f388681b9": { + "package": { + "name": "DFF", + "version": "2.0", + "description": "D Flip-flop (verilog implementation)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 160 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 224 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 304 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 344, + "height": 176 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 48 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 136 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 280 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "0b641dd31ecc9ec9194efd886de27cadd758656b": { + "package": { + "name": "Button", + "version": "0.4", + "description": "Configurable button (pull-up on/off. Not on/off)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", + "otid": 1615538095529 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": 232 + } + }, + { + "id": "c2136078-81d0-4137-8583-c122b93cbdb0", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 232 + } + }, + { + "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 472, + "y": 368 + } + }, + { + "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 880, + "y": 368 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 1200, + "y": 440 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 152, + "y": 472 + } + }, + { + "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 336, + "y": 360 + } + }, + { + "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 760, + "y": 352 + } + }, + { + "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", + "position": { + "x": 336, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", + "type": "basic.info", + "data": { + "info": "Internal pull-up \n* 0: OFF\n* 1: ON", + "readonly": true + }, + "position": { + "x": 320, + "y": 560 + }, + "size": { + "width": 176, + "height": 72 + } + }, + { + "id": "2349cf1c-768c-483c-bdf3-852e36755326", + "type": "basic.info", + "data": { + "info": "Synchronization stage", + "readonly": true + }, + "position": { + "x": 552, + "y": 536 + }, + "size": { + "width": 184, + "height": 32 + } + }, + { + "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", + "type": "basic.info", + "data": { + "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", + "readonly": true + }, + "position": { + "x": 752, + "y": 536 + }, + "size": { + "width": 192, + "height": 88 + } + }, + { + "id": "9207da36-adfa-43d6-a633-ccaa601b9293", + "type": "basic.info", + "data": { + "info": "Debouncing stage", + "readonly": true + }, + "position": { + "x": 1008, + "y": 528 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "2de6000f-b5a2-4589-8fb3-d04179982959", + "type": "f718a5d8b0501d5cbb96b48c918db07a415187be", + "position": { + "x": 1024, + "y": 440 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", + "type": "dc93d663ad1f02da00a0889f408a1f59b739c755", + "position": { + "x": 600, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", + "type": "ad96dc706d08e8529f19944fe45991970dda6d11", + "position": { + "x": 760, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "port": "out" + }, + "target": { + "block": "c2136078-81d0-4137-8583-c122b93cbdb0", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "port": "outlabel" + }, + "target": { + "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", + "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" + } + }, + { + "source": { + "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "port": "outlabel" + }, + "target": { + "block": "2de6000f-b5a2-4589-8fb3-d04179982959", + "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" + } + }, + { + "source": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" + }, + "target": { + "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", + "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" + }, + "vertices": [] + }, + { + "source": { + "block": "5d3c00c9-ff7a-4456-a343-5ccb65c7f078", + "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" + }, + "target": { + "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "port": "constant-out" + }, + "target": { + "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", + "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" + }, + "vertices": [] + }, + { + "source": { + "block": "6d5cfeb2-55ca-470b-b450-1f1a93456f19", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "2de6000f-b5a2-4589-8fb3-d04179982959", + "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" + }, + "vertices": [] + }, + { + "source": { + "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "port": "constant-out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" + } + }, + { + "source": { + "block": "2de6000f-b5a2-4589-8fb3-d04179982959", + "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" + } + } + ] + } + } + }, + "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { + "package": { + "name": "Pull-upx1", + "version": "1.0.2", + "description": "FPGA internal pull-up configuration on the input port", + "author": "Juan González", + "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 72, + "y": 256 + } + }, + { + "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 704, + "y": 256 + } + }, + { + "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "type": "basic.constant", + "data": { + "name": "on", + "value": "1", + "local": false + }, + "position": { + "x": 408, + "y": -8 + } + }, + { + "id": "2b245a71-2d80-466b-955f-e3d61839fe25", + "type": "basic.code", + "data": { + "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", + "params": [ + { + "name": "ON" + } + ], + "ports": { + "in": [ + { + "name": "i" + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 256, + "y": 104 + }, + "size": { + "width": 392, + "height": 368 + } + }, + { + "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", + "type": "basic.info", + "data": { + "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", + "readonly": true + }, + "position": { + "x": 144, + "y": -48 + }, + "size": { + "width": 264, + "height": 104 + } + }, + { + "id": "5a96e53f-d2ff-4058-bbed-779876848487", + "type": "basic.info", + "data": { + "info": "Only an FPGA pin can \nbe connected here!!!", + "readonly": true + }, + "position": { + "x": 56, + "y": 200 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", + "type": "basic.info", + "data": { + "info": "The pull-up is connected \nby default", + "readonly": true + }, + "position": { + "x": 512, + "y": 0 + }, + "size": { + "width": 208, + "height": 56 + } + } + ], + "wires": [ + { + "source": { + "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "port": "out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "i" + } + }, + { + "source": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "o" + }, + "target": { + "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "port": "in" + } + }, + { + "source": { + "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "port": "constant-out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "ON" + } + } + ] + } + } + }, + "f718a5d8b0501d5cbb96b48c918db07a415187be": { + "package": { + "name": "Debouncer-x01", + "version": "1.2.0", + "description": "Remove the rebound on a mechanical switch", + "author": "Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -376, + "y": -656 + } + }, + { + "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -224, + "y": -656 + } + }, + { + "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 952, + "y": -600 + } + }, + { + "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out" + }, + "position": { + "x": 816, + "y": -600 + } + }, + { + "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -376, + "y": -584 + } + }, + { + "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -224, + "y": -584 + } + }, + { + "id": "5d12a177-7618-4517-9067-3012f7cb42ce", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": -440 + } + }, + { + "id": "2f1050dd-a720-4ede-890e-612ce370ba61", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 840, + "y": -352 + } + }, + { + "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 536, + "y": -352 + } + }, + { + "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -288 + } + }, + { + "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 120, + "y": -232 + } + }, + { + "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 120, + "y": -160 + } + }, + { + "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", + "position": { + "x": 704, + "y": -368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", + "type": "basic.info", + "data": { + "info": "Edge detector", + "readonly": true + }, + "position": { + "x": 248, + "y": -104 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", + "type": "basic.info", + "data": { + "info": "Whenever there is a change in \nthe input, the counter is started", + "readonly": true + }, + "position": { + "x": 376, + "y": -120 + }, + "size": { + "width": 288, + "height": 56 + } + }, + { + "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", + "type": "basic.info", + "data": { + "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", + "readonly": true + }, + "position": { + "x": 720, + "y": -456 + }, + "size": { + "width": 304, + "height": 72 + } + }, + { + "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", + "type": "basic.info", + "data": { + "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", + "readonly": true + }, + "position": { + "x": 432, + "y": -640 + }, + "size": { + "width": 360, + "height": 120 + } + }, + { + "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", + "type": "basic.info", + "data": { + "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", + "readonly": true + }, + "position": { + "x": -8, + "y": -648 + }, + "size": { + "width": 312, + "height": 128 + } + }, + { + "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", + "type": "basic.info", + "data": { + "info": "Stable output", + "readonly": true + }, + "position": { + "x": 880, + "y": -280 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", + "type": "basic.info", + "data": { + "info": "Counter", + "readonly": true + }, + "position": { + "x": 536, + "y": -232 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "97af60c6-97c3-4926-8aa7-784cf46fc642", + "type": "413e4acc2a97fd7f77adb67728d1ae7b4d24f155", + "position": { + "x": 520, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "af203e4e-327b-44bb-9195-e059b3b635c8", + "type": "3324889d602b184a4c2012938d6c0c3749a5c7e9", + "position": { + "x": 248, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "port": "out" + }, + "target": { + "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "port": "inlabel" + } + }, + { + "source": { + "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "port": "outlabel" + }, + "target": { + "block": "af203e4e-327b-44bb-9195-e059b3b635c8", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "5d12a177-7618-4517-9067-3012f7cb42ce", + "port": "outlabel" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "port": "outlabel" + }, + "target": { + "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "port": "out" + }, + "target": { + "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "port": "inlabel" + } + }, + { + "source": { + "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "port": "outlabel" + }, + "target": { + "block": "af203e4e-327b-44bb-9195-e059b3b635c8", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + } + }, + { + "source": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "2f1050dd-a720-4ede-890e-612ce370ba61", + "port": "inlabel" + } + }, + { + "source": { + "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "port": "outlabel" + }, + "target": { + "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "port": "in" + } + }, + { + "source": { + "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "port": "outlabel" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", + "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" + }, + "vertices": [] + }, + { + "source": { + "block": "af203e4e-327b-44bb-9195-e059b3b635c8", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "97af60c6-97c3-4926-8aa7-784cf46fc642", + "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" + } + } + ] + } + } + }, + "93adf61bc489d9a96a344d3f2600237e9e19c607": { + "package": { + "name": "Reg-1bit", + "version": "0.1", + "description": "1bit register (implemented in verilog)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": 104 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 216, + "y": 192 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 728, + "y": 192 + } + }, + { + "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 240, + "y": 320 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 472, + "y": 56 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 272, + "height": 104 + } + }, + { + "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", + "type": "basic.info", + "data": { + "info": "Initial value", + "readonly": true + }, + "position": { + "x": 480, + "y": 48 + }, + "size": { + "width": 136, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + }, + { + "source": { + "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "load" + } + } + ] + } + } + }, + "413e4acc2a97fd7f77adb67728d1ae7b4d24f155": { + "package": { + "name": "syscounter-rst-16bits", + "version": "0.1", + "description": "16-bits Syscounter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618592156430 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -120 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -120 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -32 + } + }, + { + "id": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[15:0]", + "blockColor": "fuchsia", + "size": 16 + }, + "position": { + "x": 1040, + "y": -32 + } + }, + { + "id": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", + "type": "basic.output", + "data": { + "name": "q", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 1216, + "y": -32 + } + }, + { + "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1208, + "y": 56 + } + }, + { + "id": "06c393de-8af4-4b53-852c-b0e0160b5314", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c" + }, + "position": { + "x": 1048, + "y": 56 + } + }, + { + "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 216, + "y": 56 + } + }, + { + "id": "b34779b6-0670-454d-8d35-9f92e6ff15cf", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[15:0]", + "pins": [ + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": 56 + } + }, + { + "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 856, + "y": 144 + } + }, + { + "id": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "type": "bc711b66856fc03718ca2669a0c67f34806c8a9d", + "position": { + "x": 544, + "y": 40 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "type": "8ecd5933e4bd5916eca00d6b654d6760012c47a6", + "position": { + "x": 720, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "06c393de-8af4-4b53-852c-b0e0160b5314", + "port": "outlabel" + }, + "target": { + "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "port": "in" + } + }, + { + "source": { + "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "port": "710d9d0b-6550-4778-b5b4-c13ff8974876", + "size": 16 + }, + "target": { + "block": "b34779b6-0670-454d-8d35-9f92e6ff15cf", + "port": "inlabel" + }, + "size": 16 + }, + { + "source": { + "block": "217e7560-fd53-4db7-b08f-c9e027e3ba4e", + "port": "outlabel" + }, + "target": { + "block": "9c188e62-dea5-47d2-bfb6-b8379f6c7637", + "port": "in", + "size": 16 + }, + "size": 16 + }, + { + "source": { + "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "port": "out" + }, + "target": { + "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "port": "710d9d0b-6550-4778-b5b4-c13ff8974876" + }, + "target": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "cc343d02-8e43-4dd6-9999-21e29934ed5b" + }, + "vertices": [], + "size": 16 + }, + { + "source": { + "block": "230ff023-e330-4c47-84fb-8366ab5fdbd4", + "port": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc" + }, + "target": { + "block": "a7bb7b85-1388-4ddb-a3ad-5d207c2c1f96", + "port": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170" + }, + "vertices": [ + { + "x": 840, + "y": 264 + } + ], + "size": 16 + } + ] + } + } + }, + "bc711b66856fc03718ca2669a0c67f34806c8a9d": { + "package": { + "name": "DFF-rst-x16", + "version": "0.1", + "description": "DFF-rst-x16: 16 D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "05abbe4c-682c-4e96-9360-fa14c9626570", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -136, + "y": -448 + } + }, + { + "id": "81f40927-8dde-4218-9855-3b92f1892e56", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -136, + "y": -376 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -632, + "y": -264 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -480, + "y": -264 + } + }, + { + "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -112, + "y": -216 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -624, + "y": -192 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -480, + "y": -192 + } + }, + { + "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -112, + "y": -144 + } + }, + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -112, + "y": -8 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -128, + "y": 72 + } + }, + { + "id": "710d9d0b-6550-4778-b5b4-c13ff8974876", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 568, + "y": 104 + } + }, + { + "id": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": -576, + "y": 136 + } + }, + { + "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -128, + "y": 248 + } + }, + { + "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -128, + "y": 312 + } + }, + { + "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 48, + "y": 352 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "03291097-00e3-48db-9044-3c73ed88eeb8", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 40, + "y": 104 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "type": "852bc8c84d29887beb3432bd25e5e7b6419b7f06", + "position": { + "x": -400, + "y": 104 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "type": "401a2859b9822a66cc19b8c5277d4c310a836edd", + "position": { + "x": 384, + "y": 72 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d0c1a36f-1bb8-46af-a2f8-088defad2415", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 48, + "y": -152 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6b1c8363-ae57-4448-baa0-6e564446fbe0", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 64, + "y": -360 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": 0, + "y": 112 + } + ] + }, + { + "source": { + "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": -8, + "y": 376 + } + ] + }, + { + "source": { + "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", + "port": "outlabel" + }, + "target": { + "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", + "port": "outlabel" + }, + "target": { + "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "05abbe4c-682c-4e96-9360-fa14c9626570", + "port": "outlabel" + }, + "target": { + "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "81f40927-8dde-4218-9855-3b92f1892e56", + "port": "outlabel" + }, + "target": { + "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": 8, + "y": -328 + } + ] + }, + { + "source": { + "block": "ccf3fe71-4cdb-4e98-9ecd-d0083cfb1170", + "port": "out" + }, + "target": { + "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "710d9d0b-6550-4778-b5b4-c13ff8974876", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "port": "df399ca5-160e-470b-90e2-3c3b488cda5e" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "vertices": [ + { + "x": -272, + "y": 368 + } + ], + "size": 4 + }, + { + "source": { + "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "port": "b69090a8-121e-4bcb-8e4b-55faee72dc70" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "size": 4 + }, + { + "source": { + "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "port": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9" + }, + "target": { + "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "vertices": [ + { + "x": -160, + "y": -16 + } + ], + "size": 4 + }, + { + "source": { + "block": "3b9c2480-7e90-4a8f-90ad-2c5c08bcc5f1", + "port": "8bbb6d1c-9f19-4d90-937c-492fec692420" + }, + "target": { + "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "vertices": [ + { + "x": -184, + "y": -184 + } + ], + "size": 4 + }, + { + "source": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "port": "7f009181-43fb-4806-a540-c2049656ce40" + }, + "size": 4 + }, + { + "source": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "port": "d250cf47-8011-489b-8ddf-cc19f9e3f937" + }, + "size": 4 + }, + { + "source": { + "block": "d0c1a36f-1bb8-46af-a2f8-088defad2415", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "port": "b875d929-cdf0-4d61-bf25-af544dcb5ff8" + }, + "vertices": [ + { + "x": 208, + "y": 24 + } + ], + "size": 4 + }, + { + "source": { + "block": "6b1c8363-ae57-4448-baa0-6e564446fbe0", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "853ca8c5-b04e-4433-a26b-bf6bd662b674", + "port": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df" + }, + "vertices": [ + { + "x": 280, + "y": -128 + } + ], + "size": 4 + } + ] + } + } + }, + "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { + "package": { + "name": "DFF-rst-x04", + "version": "0.1", + "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -160, + "y": -336 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -168, + "y": -280 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -496, + "y": -256 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -256 + } + }, + { + "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -200 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -488, + "y": -184 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -184 + } + }, + { + "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -112 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 376, + "y": -8 + } + }, + { + "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 376, + "y": 80 + } + }, + { + "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1032, + "y": 184 + } + }, + { + "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 528, + "y": 208 + } + }, + { + "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -336, + "y": 224 + } + }, + { + "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 520, + "y": 264 + } + }, + { + "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": 192 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 848, + "y": 152 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 672, + "y": 264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 520, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ef954a48-69f2-4704-9719-698826029980", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 272, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": -16, + "y": -296 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", + "port": "outlabel" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", + "port": "outlabel" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", + "port": "outlabel" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "port": "outlabel" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "port": "outlabel" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 712, + "y": 128 + } + ] + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + }, + "vertices": [ + { + "x": -48, + "y": 312 + } + ] + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 768, + "y": 72 + } + ] + }, + { + "source": { + "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", + "port": "out" + }, + "target": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "2be0f80ece8db75007def664695ef5f257f88b99": { + "package": { + "name": "DFF-rst-x01", + "version": "0.2", + "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1617895961660 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 200, + "y": -264 + } + }, + { + "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 352, + "y": -264 + } + }, + { + "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 584, + "y": -128 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 856, + "y": -56 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 200, + "y": -56 + } + }, + { + "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 200, + "y": 80 + } + }, + { + "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 712, + "y": -160 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", + "readonly": true + }, + "position": { + "x": 184, + "y": -120 + }, + "size": { + "width": 272, + "height": 48 + } + }, + { + "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 360, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 536, + "y": -40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ca985673-a11d-42a0-926c-d564fe02b723", + "type": "basic.info", + "data": { + "info": "Data input", + "readonly": true + }, + "position": { + "x": 216, + "y": 56 + }, + "size": { + "width": 104, + "height": 40 + } + }, + { + "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": -304 + }, + "size": { + "width": 144, + "height": 40 + } + }, + { + "id": "9833aab1-3c8e-40a6-859b-ce1960837256", + "type": "basic.info", + "data": { + "info": "Initial default \nvalue: 0", + "readonly": true + }, + "position": { + "x": 720, + "y": -200 + }, + "size": { + "width": 152, + "height": 64 + } + }, + { + "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 712, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "port": "out" + }, + "target": { + "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", + "port": "outlabel" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "port": "out" + }, + "target": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", + "port": "constant-out" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + } + ] + } + } + }, + "852bc8c84d29887beb3432bd25e5e7b6419b7f06": { + "package": { + "name": "Bus16-Split-quarter", + "version": "0.1", + "description": "Bus16-Split-quarter: Split the 16-bits bus into four buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8bbb6d1c-9f19-4d90-937c-492fec692420", + "type": "basic.output", + "data": { + "name": "3", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 608, + "y": 64 + } + }, + { + "id": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", + "type": "basic.output", + "data": { + "name": "2", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 640, + "y": 208 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 224 + } + }, + { + "id": "b69090a8-121e-4bcb-8e4b-55faee72dc70", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 608, + "y": 312 + } + }, + { + "id": "df399ca5-160e-470b-90e2-3c3b488cda5e", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 552, + "y": 400 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[15:12];\nassign o2 = i[11:8];\nassign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o3", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o2", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "df399ca5-160e-470b-90e2-3c3b488cda5e", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "b69090a8-121e-4bcb-8e4b-55faee72dc70", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "48ab86b5-3c15-47e8-97b4-bdba0d4c95a9", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "8bbb6d1c-9f19-4d90-937c-492fec692420", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "401a2859b9822a66cc19b8c5277d4c310a836edd": { + "package": { + "name": "Bus16-Join-quarter", + "version": "0.1", + "description": "Bus16-Join-quarter: Join the four same buses into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", + "type": "basic.input", + "data": { + "name": "3", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 104, + "y": 104 + } + }, + { + "id": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", + "type": "basic.input", + "data": { + "name": "2", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 104, + "y": 184 + } + }, + { + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 720, + "y": 224 + } + }, + { + "id": "d250cf47-8011-489b-8ddf-cc19f9e3f937", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 104, + "y": 248 + } + }, + { + "id": "7f009181-43fb-4806-a540-c2049656ce40", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 104, + "y": 312 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i2", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 160 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "7f009181-43fb-4806-a540-c2049656ce40", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + }, + { + "source": { + "block": "d250cf47-8011-489b-8ddf-cc19f9e3f937", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "b875d929-cdf0-4d61-bf25-af544dcb5ff8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 224, + "y": 232 + } + ], + "size": 4 + }, + { + "source": { + "block": "79aa8ee8-2337-4ceb-b930-7e6cea70b9df", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 240, + "y": 168 + } + ], + "size": 4 + } + ] + } + } + }, + "8ecd5933e4bd5916eca00d6b654d6760012c47a6": { + "package": { + "name": "Inc1-16bits", + "version": "0.1", + "description": "Inc1-16bit: Increment a 16-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "cc343d02-8e43-4dd6-9999-21e29934ed5b", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 624, + "y": -104 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "12fb4602-d67f-4129-a777-f04945adf29d", + "type": "26569688c377bf52132e5f1de5a15da7143d9388", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "cc343d02-8e43-4dd6-9999-21e29934ed5b", + "port": "out" + }, + "target": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "1253e5dc-89f9-4074-874c-82628c0e1d6f" + }, + "size": 16 + }, + { + "source": { + "block": "12fb4602-d67f-4129-a777-f04945adf29d", + "port": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7" + }, + "target": { + "block": "a7420015-7f67-4b9a-9dba-b3a58ce79fdc", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "26569688c377bf52132e5f1de5a15da7143d9388": { + "package": { + "name": "AdderK-16bits", + "version": "0.1", + "description": "AdderK-16bit: Adder of 16-bit operand and 16-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 624, + "y": -96 + } + }, + { + "id": "1253e5dc-89f9-4074-874c-82628c0e1d6f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 232, + "y": -56 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "type": "651fa32fcf52b534a766fdb2ba638a010338f268", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "type": "bc66d79524a86b172c0ff190e607bca7c0694b8b", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "3ed9c4cb-d412-46e8-86cc-9943f564ccd0", + "port": "d54af040-d87a-431d-a15f-d5deb4795af5" + }, + "target": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "353729bb-5213-45a7-a8e1-4e1d812492bd" + }, + "size": 16 + }, + { + "source": { + "block": "1253e5dc-89f9-4074-874c-82628c0e1d6f", + "port": "out" + }, + "target": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4" + }, + "size": 16 + }, + { + "source": { + "block": "82e8e1b8-0816-489f-9427-b03956cdc86a", + "port": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9" + }, + "target": { + "block": "f4640f0f-2d2b-40c6-adb2-2581f7d1f9a7", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "651fa32fcf52b534a766fdb2ba638a010338f268": { + "package": { + "name": "16-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 16-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "d54af040-d87a-431d-a15f-d5deb4795af5", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "d54af040-d87a-431d-a15f-d5deb4795af5", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "bc66d79524a86b172c0ff190e607bca7c0694b8b": { + "package": { + "name": "Adder-16bits", + "version": "0.1", + "description": "Adder-16bits: Adder of two operands of 16 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -664 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "353729bb-5213-45a7-a8e1-4e1d812492bd", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": -320, + "y": -608 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -592 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", + "type": "basic.output", + "data": { + "name": "s", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -472 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": -320, + "y": -424 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -408 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -168, + "y": -424 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -168, + "y": -608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "eeb34637-ba55-4a7f-803e-e85d9b9c7fc4", + "port": "out" + }, + "target": { + "block": "4cb18fdd-66bb-461c-ae6d-1454c8e4a9a6", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "353729bb-5213-45a7-a8e1-4e1d812492bd", + "port": "out" + }, + "target": { + "block": "e3cde6db-ea93-4550-affd-14856b75d5a5", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "14253a9f-c3ef-4ada-bb54-dbbed784b7a9", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + } + ] + } + } + }, + "306ca367fbbc5181b3c709d73f447e0710871a1d": { + "package": { + "name": "Bus16-Split-half", + "version": "0.1", + "description": "Bus16-Split-half: Split the 16-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 176 + } + }, + { + "id": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "type": "basic.input", + "data": { + "name": "", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 96, + "y": 208 + } + }, + { + "id": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "a0c9783a-c287-45a7-bfc7-9da552cf732f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893": { + "package": { + "name": "Adder-8bits", + "version": "0.1", + "description": "Adder-8bits: Adder of two operands of 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -688 + } + }, + { + "id": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 352, + "y": -664 + } + }, + { + "id": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 960, + "y": -608 + } + }, + { + "id": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 352, + "y": -584 + } + }, + { + "id": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 960, + "y": -544 + } + }, + { + "id": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 184, + "y": -472 + } + }, + { + "id": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -440 + } + }, + { + "id": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 184, + "y": -408 + } + }, + { + "id": "63477487-9493-4058-a7e1-9bab443ec466", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -320, + "y": -384 + } + }, + { + "id": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -368 + } + }, + { + "id": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -168, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 352, + "y": -456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 760, + "y": -544 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 520, + "y": -600 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "df32424c-55e8-45ed-9adc-3d8bb146e3e0", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "d5b2605b-a224-4d60-896b-d1553fd943e1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "1c85838b-e269-4a65-a112-0a1bbca9b2a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "f3b83846-5723-40b3-a598-fd21797f7ce1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e3beef79-c05d-4705-8dbc-de5b7b94941a", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "6de2e227-2992-41fb-a52e-16e86632cd2c", + "port": "outlabel" + }, + "target": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "fb36b075-bb31-4aa7-8dbd-f0d108126f21", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "d01b9c0c-a541-49e6-b5aa-a0c0f21612a0", + "port": "outlabel" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "63477487-9493-4058-a7e1-9bab443ec466", + "port": "out" + }, + "target": { + "block": "6781108b-d67f-4ee8-97a9-79bab2c400b1", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "port": "out" + }, + "target": { + "block": "ffcdd533-6cad-4ba4-9f88-4b1030d78af8", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "b8a72421-dc14-4c18-b343-9c3516b37f54", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "7b82019f-c8a7-4b8c-baa1-6eb224a95cb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7186727e-33f4-45cd-a73d-e8f1ad0b2cbc", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "a1ce303b8ce47a06371ea4641c81460f34a1cca9": { + "package": { + "name": "AdderC-4bits", + "version": "0.1", + "description": "AdderC-4bits: Adder of two operands of 4 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -296, + "y": -168 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "db8ddf46-4d59-46ec-90bc-2ae7ccc59ce0", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + } + ] + } + } + }, + "c3c498191b14e9288a85fa2871b3966665f75475": { + "package": { + "name": "AdderC-8bits", + "version": "0.1", + "description": "AdderC-8bits: Adder of two operands of 8 bits and Carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -648 + } + }, + { + "id": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -408, + "y": -584 + } + }, + { + "id": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "deeppink", + "blockColor": "deeppink" + }, + "position": { + "x": -64, + "y": -568 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 832, + "y": -480 + } + }, + { + "id": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 248, + "y": -456 + } + }, + { + "id": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -448 + } + }, + { + "id": "3867504b-f331-4e0e-b923-acc86cb4255c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -400, + "y": -392 + } + }, + { + "id": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -80, + "y": -376 + } + }, + { + "id": "383985cb-fd11-48ff-972a-cee8b631bd65", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 248, + "y": -376 + } + }, + { + "id": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "type": "basic.output", + "data": { + "name": "s", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 840, + "y": -336 + } + }, + { + "id": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 120, + "y": -304 + } + }, + { + "id": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 120, + "y": -232 + } + }, + { + "id": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": -392, + "y": -168 + } + }, + { + "id": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -248, + "y": -392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -240, + "y": -584 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 688, + "y": -336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "840ba8a1-693f-4531-a947-adcaeac4e854", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "type": "a1ce303b8ce47a06371ea4641c81460f34a1cca9", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "d60cb59e-3e5c-4044-ae1f-3a06f33e83f9", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "ba04e310-65e0-4554-ba2b-fbde28690a0b", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "dc0243cd-75d9-4b07-bc17-150c250121ba", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "bc495131-253e-49c9-9cd7-9e843cbe7ce5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "53d3821c-4072-438d-a45e-3a5364fdc8bc", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "7905afe7-6a82-43d9-811b-45f379a3c0a9", + "port": "outlabel" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 272, + "y": -256 + } + ], + "size": 4 + }, + { + "source": { + "block": "383985cb-fd11-48ff-972a-cee8b631bd65", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "bd04885a-8a3a-4215-bbf1-c472c10c44f5", + "port": "outlabel" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "vertices": [ + { + "x": 384, + "y": -400 + } + ], + "size": 4 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86", + "port": "out" + }, + "target": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "port": "out" + }, + "target": { + "block": "d6ce7b9d-d8cf-4cde-85a8-589536fcc3a3", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "3867504b-f331-4e0e-b923-acc86cb4255c", + "port": "out" + }, + "target": { + "block": "d5ab6421-1fc7-4d8d-8124-2cc7e0eb931d", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "840ba8a1-693f-4531-a947-adcaeac4e854", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "0e0cf23b-5743-4a19-838d-fb46d407ee16", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "7a4072f6-7500-4b87-86dc-2c63246b510b", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + }, + "vertices": [ + { + "x": 624, + "y": -392 + } + ] + } + ] + } + } + }, + "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1": { + "package": { + "name": "Bus16-Join-half", + "version": "0.1", + "description": "Bus16-Join-half: Join the two same halves into an 16-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 632, + "y": 200 + } + }, + { + "id": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[15:0]", + "size": 16 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "79c56f9a-597f-491e-b1d1-d321f63303c2", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "38fdb02d-4323-4a34-9ffe-8385f844b660", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "5a35cd57-1006-4f6d-b263-5b977e9ef142", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "3324889d602b184a4c2012938d6c0c3749a5c7e9": { + "package": { + "name": "Edges-detector", + "version": "0.2", + "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 88, + "y": 152 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 88, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 616, + "y": 352 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 104, + "y": -40 + }, + "size": { + "width": 648, + "height": 96 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 96, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 104, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 160, + "y": 352 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", + "readonly": true + }, + "position": { + "x": 504, + "y": 264 + }, + "size": { + "width": 400, + "height": 72 + } + }, + { + "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 480, + "y": 352 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", + "type": "basic.info", + "data": { + "info": "In any other case the output is 0", + "readonly": true + }, + "position": { + "x": 520, + "y": 432 + }, + "size": { + "width": 296, + "height": 40 + } + }, + { + "id": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + }, + { + "source": { + "block": "4162d5b1-1fa7-4c48-827a-c9fde7ee204f", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 264, + "y": 368 + } + ] + } + ] + } + } + }, + "dc93d663ad1f02da00a0889f408a1f59b739c755": { + "package": { + "name": "Sync-x01", + "version": "0.2", + "description": "Sync 1-bit input with the system clock domain", + "author": "Juan Gonzalez-González (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -256, + "y": -56 + } + }, + { + "id": "e226f910-14af-473d-956b-03559f466726", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -104, + "y": -56 + } + }, + { + "id": "7f538425-03ff-409e-81c2-d2714dfb036f", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 128, + "y": 32 + } + }, + { + "id": "868cf45b-3801-40c1-9a04-498087cf183e", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -56, + "y": 72 + } + }, + { + "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 424, + "y": 128 + } + }, + { + "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -64, + "y": 160 + } + }, + { + "id": "530188ca-389b-48f1-8fc5-793e57545112", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 104, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 280, + "y": 128 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "port": "out" + }, + "target": { + "block": "e226f910-14af-473d-956b-03559f466726", + "port": "inlabel" + } + }, + { + "source": { + "block": "868cf45b-3801-40c1-9a04-498087cf183e", + "port": "outlabel" + }, + "target": { + "block": "530188ca-389b-48f1-8fc5-793e57545112", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "7f538425-03ff-409e-81c2-d2714dfb036f", + "port": "outlabel" + }, + "target": { + "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "port": "out" + }, + "target": { + "block": "530188ca-389b-48f1-8fc5-793e57545112", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "530188ca-389b-48f1-8fc5-793e57545112", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "d39acc02-7346-4539-a1fe-10ae4f16a0c6", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "port": "in" + } + } + ] + } + } + }, + "ad96dc706d08e8529f19944fe45991970dda6d11": { + "package": { + "name": "not-wire-x01", + "version": "0.3", + "description": "Select positive or negative logic for the input (0=positive, 1=negative)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1607779171609 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 824, + "y": 304 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 376, + "y": 320 + } + }, + { + "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 520, + "y": 160 + } + }, + { + "id": "019e81db-5707-409c-b159-b4cb29813cc4", + "type": "basic.info", + "data": { + "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", + "readonly": true + }, + "position": { + "x": 632, + "y": 392 + }, + "size": { + "width": 336, + "height": 96 + } + }, + { + "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", + "type": "basic.info", + "data": { + "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", + "readonly": true + }, + "position": { + "x": 728, + "y": 8 + }, + "size": { + "width": 296, + "height": 144 + } + }, + { + "id": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 672, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c6095afa-5850-4d13-bcb1-5b60be247b89", + "type": "6b14d5741f7e62a08c61190175d6447b05954bbd", + "position": { + "x": 520, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "port": "constant-out" + }, + "target": { + "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] + }, + { + "source": { + "block": "c6095afa-5850-4d13-bcb1-5b60be247b89", + "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" + }, + "target": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "100882d4-91fe-428f-9ce9-9e02ed2b2a9d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "6b14d5741f7e62a08c61190175d6447b05954bbd": { + "package": { + "name": "1-bit-gen-constant", + "version": "0.0.2", + "description": "1-bit generic constant (0/1)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k" + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "port": "in" + } + } + ] + } + } + }, + "bce5419e3f610faf24e9aca0d99a6a6a559ac37b": { + "package": { + "name": "sysclk_divN_24", + "version": "0.1", + "description": "sysclk_divN_24bits: Generate a signal from the division of the system clock by N. (24-bits precision) (N = 2,3,4,..,0x1000000))", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22217.216%22%20height=%22160.933%22%20viewBox=%220%200%2057.471607%2042.580101%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M21.756%2040.073c-.726-1.25-1.853-2.474-3.987-4.332-1.156-1.006-1.86-1.565-5.863-4.658-3.138-2.425-4.704-3.77-6.519-5.602-1.813-1.83-2.88-3.289-3.794-5.19a15.321%2015.321%200%200%201-1.235-3.6c-.316-1.545-.36-2.068-.358-4.342.002-2.984.1-3.48%201.08-5.47C1.81%205.4%202.361%204.621%203.513%203.451c1.119-1.135%201.844-1.65%203.415-2.427C8.672.162%209.934-.065%2012.533.02c2.02.065%202.759.266%204.36%201.187%202.52%201.45%204.475%203.778%205.017%205.973.088.358.18.652.203.652.023%200%20.227-.42.453-.933.77-1.743%201.485-2.808%202.62-3.902%203.477-3.353%208.96-3.959%2013.392-1.482C40.388%202.527%2041.86%204%2043.002%205.94c.898%201.527%201.358%203.555%201.436%206.34.114%204.035-.625%206.832-2.589%209.811-.78%201.183-1.356%201.9-2.438%203.029-1.745%201.822-3.318%203.162-7.033%205.987-2.344%201.783-3.734%202.93-5.744%204.742-1.612%201.451-4.109%203.979-4.35%204.401-.104.185-.2.336-.21.336-.012%200-.154-.23-.318-.512z%22%20fill=%22red%22/%3E%3Ctext%20y=%2267.968%22%20x=%2274.742%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2234.329%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22matrix(.99853%200%200%201.00147%20-51.042%20-45.386)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.637%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2267.968%22%20x=%2274.742%22%20font-weight=%22700%22%20font-size=%2219.617%22%3EN%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22matrix(.79321%200%200%20.79321%20-34.117%20-27.76)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-121.93%20-139.267)%20scale(2.25618)%22%20fill=%22#00f%22%3E%3Cpath%20d=%22M57.907%2068.839h6.298%22%20stroke=%22#00f%22%20stroke-width=%22.794%22/%3E%3Cellipse%20cx=%2261.199%22%20cy=%2266.693%22%20rx=%22.671%22%20ry=%22.634%22/%3E%3Cellipse%20cx=%2261.199%22%20cy=%2270.773%22%20rx=%22.671%22%20ry=%22.634%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618674058849 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1dbdc123-3e33-4e6c-80fd-8cb7cc7f4bca", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 744, + "y": -272 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 760, + "y": -192 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -128, + "y": -152 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 24, + "y": -152 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 216, + "y": -128 + } + }, + { + "id": "9157f366-0a1d-43f7-8844-ae9dc20c6262", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 216, + "y": -56 + } + }, + { + "id": "3ac469d7-5e0e-4b49-b0a5-1a2c7ea264d7", + "type": "basic.constant", + "data": { + "name": "N", + "value": "16777216", + "local": false + }, + "position": { + "x": 376, + "y": -320 + } + }, + { + "id": "0459097d-2909-4c00-8a84-146601bcb0c4", + "type": "ef98b5281e39844e0880f4fa569a43f80cc14b68", + "position": { + "x": 376, + "y": -208 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "498ead06-7c1f-4085-a1f1-9cc5b752bbff", + "type": "d84ae0d4095175c385fcc55e5a5eac580a64ebec", + "position": { + "x": 600, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "22d828d1-ed3b-443a-90f0-1036be398c2c", + "type": "97d6077c739405f0677f54c0f8c1404af4630e91", + "position": { + "x": 384, + "y": -72 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "498ead06-7c1f-4085-a1f1-9cc5b752bbff", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "1dbdc123-3e33-4e6c-80fd-8cb7cc7f4bca", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "9157f366-0a1d-43f7-8844-ae9dc20c6262", + "port": "outlabel" + }, + "target": { + "block": "22d828d1-ed3b-443a-90f0-1036be398c2c", + "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "22d828d1-ed3b-443a-90f0-1036be398c2c", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "498ead06-7c1f-4085-a1f1-9cc5b752bbff", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "3ac469d7-5e0e-4b49-b0a5-1a2c7ea264d7", + "port": "constant-out" + }, + "target": { + "block": "0459097d-2909-4c00-8a84-146601bcb0c4", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "22d828d1-ed3b-443a-90f0-1036be398c2c", + "port": "c5e9e2e4-005a-464d-927c-2b18aac9180e" + }, + "target": { + "block": "498ead06-7c1f-4085-a1f1-9cc5b752bbff", + "port": "e6c05cf3-850f-42d7-aeae-b821c3700750" + }, + "size": 24 + }, + { + "source": { + "block": "0459097d-2909-4c00-8a84-146601bcb0c4", + "port": "d302e5f9-b6e4-4832-8786-8de92e0392a9" + }, + "target": { + "block": "498ead06-7c1f-4085-a1f1-9cc5b752bbff", + "port": "71ba091c-c24f-40ac-98d2-a84a2915a2d9" + }, + "size": 24 + } + ] + } + } + }, + "ef98b5281e39844e0880f4fa569a43f80cc14b68": { + "package": { + "name": "24-bits-k-1", + "version": "0.0.2", + "description": "Generic: 24-bits k-1 constant (Input values: 1,2,...,h1000000). It returns the value input by the user minus 1. Outputs: 0,1,2,...,FFFFFF", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20width=%22379.943%22%20height=%22248.129%22%20viewBox=%220%200%20356.19654%20232.62089%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.801%2011.403%2011.739%2021.465%2024.149%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.086v74.793H0V4.696L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.204-33.205h32.534q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22/%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-size=%22240.378%22%20stroke-width=%226.009%22%3E%3Cpath%20d=%22M127.343%20148.678h70.366v21.41h-70.366zM243.924%20210.427h43.081V61.732l-46.867%209.4V47.11l46.606-9.4h26.371v172.717h43.082v22.194H243.924z%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "d302e5f9-b6e4-4832-8786-8de92e0392a9", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "K", + "value": "1", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "294f1eb0-e5cf-4c89-b914-bbb9b8305d64", + "type": "basic.info", + "data": { + "info": "Output: 0,1,2,...,hffffff", + "readonly": true + }, + "position": { + "x": 960, + "y": 224 + }, + "size": { + "width": 192, + "height": 40 + } + }, + { + "id": "83e77c69-25af-4960-9fe3-c1fb07abf203", + "type": "basic.info", + "data": { + "info": "Inputs: 1,2,...,h1000000", + "readonly": true + }, + "position": { + "x": 728, + "y": 80 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE-1;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "d302e5f9-b6e4-4832-8786-8de92e0392a9", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "d84ae0d4095175c385fcc55e5a5eac580a64ebec": { + "package": { + "name": "comp2-24bits", + "version": "0.1", + "description": "Comp2-24bit: Comparator of two 24-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "c1de5e5d-4e74-4a56-9d2f-0273affb940a", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": -32 + } + }, + { + "id": "1aef79ec-277a-4a91-b851-5e4690b0086e", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": 64 + } + }, + { + "id": "71ba091c-c24f-40ac-98d2-a84a2915a2d9", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 368, + "y": 64 + } + }, + { + "id": "01eddc07-a1d3-4655-b8fd-2e8fedf12971", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 928, + "y": 88 + } + }, + { + "id": "f1ec9a74-0d32-45d1-bf72-90535d0be165", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 136 + } + }, + { + "id": "6be3f5c6-9637-435a-8640-a538e74c2370", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 928, + "y": 152 + } + }, + { + "id": "fa4eaae9-10b1-4567-8b73-89804cde2168", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 928, + "y": 232 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1448, + "y": 288 + } + }, + { + "id": "278fd42c-ebb0-4134-b982-6c296037fb83", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "deeppink", + "oldBlockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 928, + "y": 304 + } + }, + { + "id": "a946d788-3431-4164-bd9c-de8bfcf16698", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": 704, + "y": 336 + } + }, + { + "id": "a5882e7b-8c95-406c-8b31-038df5b4050c", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "fuchsia", + "oldBlockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 928, + "y": 400 + } + }, + { + "id": "1d01c85f-5495-457c-9de2-cb8d24bdeea1", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": 704, + "y": 432 + } + }, + { + "id": "e6c05cf3-850f-42d7-aeae-b821c3700750", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 352, + "y": 432 + } + }, + { + "id": "6e00004c-20d3-49b2-b620-1f1e89ecbea2", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 928, + "y": 472 + } + }, + { + "id": "57f9a324-2e6e-4123-a79e-ad69ca9c3e2f", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 696, + "y": 544 + } + }, + { + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, + "position": { + "x": 408, + "y": 32 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, + "position": { + "x": 392, + "y": 408 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "45eb3900-c7a0-4816-b901-c139f1401a23", + "type": "b2762a8f01edc037b9273378035d64a7172f3187", + "position": { + "x": 1080, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0ce00c21-b605-4a59-b051-84188600ca30", + "type": "b2762a8f01edc037b9273378035d64a7172f3187", + "position": { + "x": 1080, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4533ce32-1a7e-4e40-8cc5-786d1de96ce2", + "type": "ae245c856f7fbc64ed4d999ce91be2c16d5ba038", + "position": { + "x": 1304, + "y": 272 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c8dfe704-2270-44d9-af00-b5760704a1fb", + "type": "6fef69b52e3d1ced0e01e887f8e757974affab8f", + "position": { + "x": 512, + "y": 416 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ff0d5499-12a6-4831-8b63-991332606d84", + "type": "6fef69b52e3d1ced0e01e887f8e757974affab8f", + "position": { + "x": 528, + "y": 48 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "5161f496-b2d6-41e3-88f8-d8fba8b21ce2", + "type": "b2762a8f01edc037b9273378035d64a7172f3187", + "position": { + "x": 1080, + "y": 104 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c8dfe704-2270-44d9-af00-b5760704a1fb", + "port": "9ded277e-a83c-466f-b1cb-bdebea943387", + "size": 8 + }, + "target": { + "block": "57f9a324-2e6e-4123-a79e-ad69ca9c3e2f", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ff0d5499-12a6-4831-8b63-991332606d84", + "port": "9ded277e-a83c-466f-b1cb-bdebea943387", + "size": 8 + }, + "target": { + "block": "f1ec9a74-0d32-45d1-bf72-90535d0be165", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "6e00004c-20d3-49b2-b620-1f1e89ecbea2", + "port": "outlabel" + }, + "target": { + "block": "45eb3900-c7a0-4816-b901-c139f1401a23", + "port": "ab9bcc04-115a-4689-8d9c-b191681a3dde", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "a5882e7b-8c95-406c-8b31-038df5b4050c", + "port": "outlabel" + }, + "target": { + "block": "45eb3900-c7a0-4816-b901-c139f1401a23", + "port": "44eeec20-79b3-40e8-a9b9-df85c51cec3c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "c8dfe704-2270-44d9-af00-b5760704a1fb", + "port": "f25ddd8a-a716-43b7-bdbd-5c7f1373d828", + "size": 8 + }, + "target": { + "block": "1d01c85f-5495-457c-9de2-cb8d24bdeea1", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ff0d5499-12a6-4831-8b63-991332606d84", + "port": "f25ddd8a-a716-43b7-bdbd-5c7f1373d828", + "size": 8 + }, + "target": { + "block": "1aef79ec-277a-4a91-b851-5e4690b0086e", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "278fd42c-ebb0-4134-b982-6c296037fb83", + "port": "outlabel" + }, + "target": { + "block": "0ce00c21-b605-4a59-b051-84188600ca30", + "port": "ab9bcc04-115a-4689-8d9c-b191681a3dde", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "fa4eaae9-10b1-4567-8b73-89804cde2168", + "port": "outlabel" + }, + "target": { + "block": "0ce00c21-b605-4a59-b051-84188600ca30", + "port": "44eeec20-79b3-40e8-a9b9-df85c51cec3c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "c8dfe704-2270-44d9-af00-b5760704a1fb", + "port": "b0180d54-ce8d-436d-8bf8-6ebb49879520", + "size": 8 + }, + "target": { + "block": "a946d788-3431-4164-bd9c-de8bfcf16698", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "ff0d5499-12a6-4831-8b63-991332606d84", + "port": "b0180d54-ce8d-436d-8bf8-6ebb49879520", + "size": 8 + }, + "target": { + "block": "c1de5e5d-4e74-4a56-9d2f-0273affb940a", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "6be3f5c6-9637-435a-8640-a538e74c2370", + "port": "outlabel" + }, + "target": { + "block": "5161f496-b2d6-41e3-88f8-d8fba8b21ce2", + "port": "ab9bcc04-115a-4689-8d9c-b191681a3dde", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "01eddc07-a1d3-4655-b8fd-2e8fedf12971", + "port": "outlabel" + }, + "target": { + "block": "5161f496-b2d6-41e3-88f8-d8fba8b21ce2", + "port": "44eeec20-79b3-40e8-a9b9-df85c51cec3c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4533ce32-1a7e-4e40-8cc5-786d1de96ce2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "45eb3900-c7a0-4816-b901-c139f1401a23", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4533ce32-1a7e-4e40-8cc5-786d1de96ce2", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "0ce00c21-b605-4a59-b051-84188600ca30", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4533ce32-1a7e-4e40-8cc5-786d1de96ce2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "5161f496-b2d6-41e3-88f8-d8fba8b21ce2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4533ce32-1a7e-4e40-8cc5-786d1de96ce2", + "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + } + }, + { + "source": { + "block": "71ba091c-c24f-40ac-98d2-a84a2915a2d9", + "port": "out" + }, + "target": { + "block": "ff0d5499-12a6-4831-8b63-991332606d84", + "port": "986785ba-71af-4029-b917-652dc40e9b60" + }, + "size": 24 + }, + { + "source": { + "block": "e6c05cf3-850f-42d7-aeae-b821c3700750", + "port": "out" + }, + "target": { + "block": "c8dfe704-2270-44d9-af00-b5760704a1fb", + "port": "986785ba-71af-4029-b917-652dc40e9b60" + }, + "size": 24 + } + ] + } + } + }, + "b2762a8f01edc037b9273378035d64a7172f3187": { + "package": { + "name": "comp2-8bits", + "version": "0.1", + "description": "Comp2-8bit: Comparator of two 8-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "738ae80e-c170-438b-bce7-59fab67c6e4c", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 696, + "y": 0 + } + }, + { + "id": "44eeec20-79b3-40e8-a9b9-df85c51cec3c", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 368, + "y": 56 + } + }, + { + "id": "779b44f4-c1dd-479c-8fc3-fce1c1ba8d03", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 696, + "y": 72 + } + }, + { + "id": "106ddd51-311e-4f56-9774-f16e12200d7c", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 888, + "y": 96 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1408, + "y": 168 + } + }, + { + "id": "764af6fd-ce7e-4eb9-b4f6-dd3b61172c2b", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 888, + "y": 168 + } + }, + { + "id": "ac2ecc0a-3e9b-4845-a180-e65bb628cfa9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 888, + "y": 264 + } + }, + { + "id": "b0d3a80f-c569-4eea-82c9-7b8edc436870", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 888, + "y": 336 + } + }, + { + "id": "008568d9-c44c-46b2-9d56-0e82da048a9a", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 688, + "y": 376 + } + }, + { + "id": "ab9bcc04-115a-4689-8d9c-b191681a3dde", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 352, + "y": 432 + } + }, + { + "id": "a67d9666-98ba-40d6-b2f5-9b871f7164e5", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": 688, + "y": 448 + } + }, + { + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, + "position": { + "x": 408, + "y": 32 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, + "position": { + "x": 392, + "y": 408 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "type": "438230b563d82c3a000686cd1030f4bfa85c5cc0", + "position": { + "x": 1040, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1264, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9fc7487b-36b1-45df-b103-ed97ccb8df29", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 512, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "607aefdf-b411-405a-83e5-79097e66da92", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 528, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2b918e10-3e34-4a4d-a5c2-35ab1565ce1f", + "type": "438230b563d82c3a000686cd1030f4bfa85c5cc0", + "position": { + "x": 1040, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9fc7487b-36b1-45df-b103-ed97ccb8df29", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "a67d9666-98ba-40d6-b2f5-9b871f7164e5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "607aefdf-b411-405a-83e5-79097e66da92", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "779b44f4-c1dd-479c-8fc3-fce1c1ba8d03", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "b0d3a80f-c569-4eea-82c9-7b8edc436870", + "port": "outlabel" + }, + "target": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "ac2ecc0a-3e9b-4845-a180-e65bb628cfa9", + "port": "outlabel" + }, + "target": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "9fc7487b-36b1-45df-b103-ed97ccb8df29", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "008568d9-c44c-46b2-9d56-0e82da048a9a", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "607aefdf-b411-405a-83e5-79097e66da92", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "738ae80e-c170-438b-bce7-59fab67c6e4c", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "764af6fd-ce7e-4eb9-b4f6-dd3b61172c2b", + "port": "outlabel" + }, + "target": { + "block": "2b918e10-3e34-4a4d-a5c2-35ab1565ce1f", + "port": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "106ddd51-311e-4f56-9774-f16e12200d7c", + "port": "outlabel" + }, + "target": { + "block": "2b918e10-3e34-4a4d-a5c2-35ab1565ce1f", + "port": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "2b918e10-3e34-4a4d-a5c2-35ab1565ce1f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "44eeec20-79b3-40e8-a9b9-df85c51cec3c", + "port": "out" + }, + "target": { + "block": "607aefdf-b411-405a-83e5-79097e66da92", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "ab9bcc04-115a-4689-8d9c-b191681a3dde", + "port": "out" + }, + "target": { + "block": "9fc7487b-36b1-45df-b103-ed97ccb8df29", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + } + ] + } + } + }, + "438230b563d82c3a000686cd1030f4bfa85c5cc0": { + "package": { + "name": "comp2-4bits", + "version": "0.1", + "description": "Comp2-4bit: Comparator of two 4-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8d9e1163-514b-4843-b376-7d2d6b44c982", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": -160 + } + }, + { + "id": "a8c3b8e1-f3f5-4383-acf3-9a3a02b36983", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 904, + "y": -88 + } + }, + { + "id": "a1ed3ef4-e069-4db5-b0cb-13fd1a9ba914", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": -32 + } + }, + { + "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 0 + } + }, + { + "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": 32 + } + }, + { + "id": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 360, + "y": 48 + } + }, + { + "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 904, + "y": 72 + } + }, + { + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 704, + "y": 88 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1464, + "y": 136 + } + }, + { + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1" + }, + "position": { + "x": 904, + "y": 176 + } + }, + { + "id": "e6731ce7-9973-4f75-98e3-402603db9bdb", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 696, + "y": 232 + } + }, + { + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 248 + } + }, + { + "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 688, + "y": 312 + } + }, + { + "id": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 368, + "y": 328 + } + }, + { + "id": "9eab6815-4005-453c-8f24-68951cced197", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 344 + } + }, + { + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 688, + "y": 376 + } + }, + { + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 416 + } + }, + { + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 672, + "y": 448 + } + }, + { + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, + "position": { + "x": 408, + "y": 32 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, + "position": { + "x": 408, + "y": 304 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "398207eb-18f3-43a4-b4e5-481480023848", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 528, + "y": 16 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 528, + "y": 296 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "67416698-29e8-4490-beed-0d317a4363e8", + "type": "effd4240644b745e4d10082904b7e4fd01079074", + "position": { + "x": 1320, + "y": 104 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "port": "inlabel" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" + } + }, + { + "source": { + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + } + }, + { + "source": { + "block": "9eab6815-4005-453c-8f24-68951cced197", + "port": "outlabel" + }, + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "port": "outlabel" + }, + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "port": "inlabel" + } + }, + { + "source": { + "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "port": "outlabel" + }, + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "port": "outlabel" + }, + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + }, + "vertices": [] + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "e6731ce7-9973-4f75-98e3-402603db9bdb", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "a1ed3ef4-e069-4db5-b0cb-13fd1a9ba914", + "port": "inlabel" + } + }, + { + "source": { + "block": "8d9e1163-514b-4843-b376-7d2d6b44c982", + "port": "outlabel" + }, + "target": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "a8c3b8e1-f3f5-4383-acf3-9a3a02b36983", + "port": "outlabel" + }, + "target": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + }, + "vertices": [] + }, + { + "source": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "port": "out" + }, + "target": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "port": "out" + }, + "target": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 1208, + "y": 208 + } + ] + }, + { + "source": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + }, + "vertices": [ + { + "x": 1200, + "y": 128 + } + ] + }, + { + "source": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "17810eed-56f8-44b3-8076-d411a5011a8e" + }, + "vertices": [ + { + "x": 1272, + "y": 32 + } + ] + } + ] + } + } + }, + "23b15b7d7a1bc7327fad365412864b15e4edf835": { + "package": { + "name": "comp2-1bit", + "version": "0.1", + "description": "Comp2-1bit: Comparator of two 1-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 368, + "y": 280 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 832, + "y": 296 + } + }, + { + "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 368, + "y": 344 + } + }, + { + "id": "8839b104-b532-421e-a2da-a1272176ff9c", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 544, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 680, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "port": "out" + }, + "target": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "effd4240644b745e4d10082904b7e4fd01079074": { + "package": { + "name": "AND4", + "version": "1.0.2", + "description": "Three bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "17810eed-56f8-44b3-8076-d411a5011a8e", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 104, + "y": -128 + } + }, + { + "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 104, + "y": -40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 584, + "y": -16 + } + }, + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 280, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 272, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 432, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "17810eed-56f8-44b3-8076-d411a5011a8e", + "port": "out" + }, + "target": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "port": "out" + }, + "target": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + }, + { + "source": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "ae245c856f7fbc64ed4d999ce91be2c16d5ba038": { + "package": { + "name": "AND3", + "version": "1.0.2", + "description": "Three bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 104, + "y": -40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 552, + "y": 48 + } + }, + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 248, + "y": -24 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 408, + "y": 48 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "port": "out" + }, + "target": { + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "5991c48e-7ebb-430a-adca-df5b156c03e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "6fef69b52e3d1ced0e01e887f8e757974affab8f": { + "package": { + "name": "Bus24-Split-one-third", + "version": "0.1", + "description": "Bus24-Split-one-third: Split the 24-bits bus into three buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b0180d54-ce8d-436d-8bf8-6ebb49879520", + "type": "basic.output", + "data": { + "name": "2", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 616, + "y": 56 + } + }, + { + "id": "f25ddd8a-a716-43b7-bdbd-5c7f1373d828", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 616, + "y": 208 + } + }, + { + "id": "986785ba-71af-4029-b917-652dc40e9b60", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 88, + "y": 208 + } + }, + { + "id": "9ded277e-a83c-466f-b1cb-bdebea943387", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 608, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o2 = i[23:16];\nassign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o2", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f25ddd8a-a716-43b7-bdbd-5c7f1373d828", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "b0180d54-ce8d-436d-8bf8-6ebb49879520", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "9ded277e-a83c-466f-b1cb-bdebea943387", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "986785ba-71af-4029-b917-652dc40e9b60", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + } + ] + } + } + }, + "97d6077c739405f0677f54c0f8c1404af4630e91": { + "package": { + "name": "syscounter-rst-24bits", + "version": "0.1", + "description": "24-bits Syscounter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618592156430 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -120 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -120 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -32 + } + }, + { + "id": "1c0a14ca-54a9-47ce-bf3d-aedefd2053f3", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[23:0]", + "blockColor": "fuchsia", + "size": 24 + }, + "position": { + "x": 1040, + "y": -32 + } + }, + { + "id": "c5e9e2e4-005a-464d-927c-2b18aac9180e", + "type": "basic.output", + "data": { + "name": "q", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 1200, + "y": -32 + } + }, + { + "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1208, + "y": 56 + } + }, + { + "id": "06c393de-8af4-4b53-852c-b0e0160b5314", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c" + }, + "position": { + "x": 1048, + "y": 56 + } + }, + { + "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 216, + "y": 56 + } + }, + { + "id": "6b17f83a-c001-41a8-bf30-6f2b838c00b4", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[23:0]", + "pins": [ + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": 56 + } + }, + { + "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 856, + "y": 144 + } + }, + { + "id": "efe69f75-a437-49c9-abcc-47af25d287d9", + "type": "5495b52484372dce272875f5c190707a35eeaa6f", + "position": { + "x": 544, + "y": 40 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0e86ad34-29ae-4375-b943-dec3e1dc1312", + "type": "9c4559db1fdec26319e6d6eb38f7622cb97039db", + "position": { + "x": 720, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "0e86ad34-29ae-4375-b943-dec3e1dc1312", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "efe69f75-a437-49c9-abcc-47af25d287d9", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "06c393de-8af4-4b53-852c-b0e0160b5314", + "port": "outlabel" + }, + "target": { + "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "port": "in" + } + }, + { + "source": { + "block": "efe69f75-a437-49c9-abcc-47af25d287d9", + "port": "ee000e36-3af9-433a-b1bc-be1b8aad79e1", + "size": 24 + }, + "target": { + "block": "6b17f83a-c001-41a8-bf30-6f2b838c00b4", + "port": "inlabel" + }, + "size": 24 + }, + { + "source": { + "block": "1c0a14ca-54a9-47ce-bf3d-aedefd2053f3", + "port": "outlabel" + }, + "target": { + "block": "c5e9e2e4-005a-464d-927c-2b18aac9180e", + "port": "in", + "size": 24 + }, + "size": 24 + }, + { + "source": { + "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "port": "out" + }, + "target": { + "block": "efe69f75-a437-49c9-abcc-47af25d287d9", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "efe69f75-a437-49c9-abcc-47af25d287d9", + "port": "ee000e36-3af9-433a-b1bc-be1b8aad79e1" + }, + "target": { + "block": "0e86ad34-29ae-4375-b943-dec3e1dc1312", + "port": "fd4d4e4e-dd92-4b76-a6d5-9b762b17396b" + }, + "vertices": [ + { + "x": 656, + "y": 128 + } + ], + "size": 24 + }, + { + "source": { + "block": "0e86ad34-29ae-4375-b943-dec3e1dc1312", + "port": "1645d4a4-bbe5-4f2e-8c06-2b0bc1f4a8db" + }, + "target": { + "block": "efe69f75-a437-49c9-abcc-47af25d287d9", + "port": "4ea37c80-a37c-4faf-a706-d3ccf5bc0b1e" + }, + "vertices": [ + { + "x": 840, + "y": 288 + } + ], + "size": 24 + } + ] + } + } + }, + "5495b52484372dce272875f5c190707a35eeaa6f": { + "package": { + "name": "DFF-rst-x24", + "version": "0.1", + "description": "DFF-rst-x24: 24 D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -632, + "y": -264 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -480, + "y": -264 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -624, + "y": -192 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -480, + "y": -192 + } + }, + { + "id": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -168, + "y": -168 + } + }, + { + "id": "95c3db7f-827e-4950-8a74-ed4c855b1e80", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -176, + "y": -96 + } + }, + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -200, + "y": 16 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -200, + "y": 72 + } + }, + { + "id": "ee000e36-3af9-433a-b1bc-be1b8aad79e1", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 448, + "y": 96 + } + }, + { + "id": "21ec5232-45c6-4327-a55c-fe821b7c4aec", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -176, + "y": 168 + } + }, + { + "id": "cd4dcd80-0eb7-445e-9d87-0a13a22cef83", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -184, + "y": 224 + } + }, + { + "id": "4ea37c80-a37c-4faf-a706-d3ccf5bc0b1e", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": -632, + "y": 248 + } + }, + { + "id": "757b05f5-b2e4-4ad8-a566-3096c09c8059", + "type": "6fef69b52e3d1ced0e01e887f8e757974affab8f", + "position": { + "x": -416, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "af50cfab-f0c6-4abc-93b9-e27166cc74db", + "type": "33e50db9d48b2ac603cb27c1e0d79c8c449fc4fb", + "position": { + "x": 248, + "y": 80 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2c6cfae2-44a7-4747-a651-b8d8f42ad3c5", + "type": "cf434451314de2d169c80ddfd83df7fd58182666", + "position": { + "x": -8, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6d6ebb97-998c-4e62-af16-aca44192d056", + "type": "cf434451314de2d169c80ddfd83df7fd58182666", + "position": { + "x": -8, + "y": 80 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "34efd41c-8732-4b0e-a437-b3cb4b411d39", + "type": "cf434451314de2d169c80ddfd83df7fd58182666", + "position": { + "x": -8, + "y": -72 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "cf0ec9b2-9d62-4940-aa5c-aeb5598d4206", + "port": "outlabel" + }, + "target": { + "block": "34efd41c-8732-4b0e-a437-b3cb4b411d39", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "95c3db7f-827e-4950-8a74-ed4c855b1e80", + "port": "outlabel" + }, + "target": { + "block": "34efd41c-8732-4b0e-a437-b3cb4b411d39", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": -56, + "y": -40 + } + ] + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "6d6ebb97-998c-4e62-af16-aca44192d056", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "6d6ebb97-998c-4e62-af16-aca44192d056", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "21ec5232-45c6-4327-a55c-fe821b7c4aec", + "port": "outlabel" + }, + "target": { + "block": "2c6cfae2-44a7-4747-a651-b8d8f42ad3c5", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "cd4dcd80-0eb7-445e-9d87-0a13a22cef83", + "port": "outlabel" + }, + "target": { + "block": "2c6cfae2-44a7-4747-a651-b8d8f42ad3c5", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "af50cfab-f0c6-4abc-93b9-e27166cc74db", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "ee000e36-3af9-433a-b1bc-be1b8aad79e1", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "4ea37c80-a37c-4faf-a706-d3ccf5bc0b1e", + "port": "out" + }, + "target": { + "block": "757b05f5-b2e4-4ad8-a566-3096c09c8059", + "port": "986785ba-71af-4029-b917-652dc40e9b60" + }, + "size": 24 + }, + { + "source": { + "block": "2c6cfae2-44a7-4747-a651-b8d8f42ad3c5", + "port": "f1844cff-7fd4-4781-82ea-93999fec5e74" + }, + "target": { + "block": "af50cfab-f0c6-4abc-93b9-e27166cc74db", + "port": "4f42dbcc-6f8d-49de-9291-7a602cce9d27" + }, + "size": 8 + }, + { + "source": { + "block": "6d6ebb97-998c-4e62-af16-aca44192d056", + "port": "f1844cff-7fd4-4781-82ea-93999fec5e74" + }, + "target": { + "block": "af50cfab-f0c6-4abc-93b9-e27166cc74db", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "34efd41c-8732-4b0e-a437-b3cb4b411d39", + "port": "f1844cff-7fd4-4781-82ea-93999fec5e74" + }, + "target": { + "block": "af50cfab-f0c6-4abc-93b9-e27166cc74db", + "port": "3abd42f7-f396-4aa1-981a-889c0c279d10" + }, + "size": 8 + }, + { + "source": { + "block": "757b05f5-b2e4-4ad8-a566-3096c09c8059", + "port": "9ded277e-a83c-466f-b1cb-bdebea943387" + }, + "target": { + "block": "2c6cfae2-44a7-4747-a651-b8d8f42ad3c5", + "port": "98e23c4f-291f-4a6a-977f-dd1e9fd61186" + }, + "size": 8 + }, + { + "source": { + "block": "757b05f5-b2e4-4ad8-a566-3096c09c8059", + "port": "f25ddd8a-a716-43b7-bdbd-5c7f1373d828" + }, + "target": { + "block": "6d6ebb97-998c-4e62-af16-aca44192d056", + "port": "98e23c4f-291f-4a6a-977f-dd1e9fd61186" + }, + "vertices": [ + { + "x": -224, + "y": 216 + } + ], + "size": 8 + }, + { + "source": { + "block": "757b05f5-b2e4-4ad8-a566-3096c09c8059", + "port": "b0180d54-ce8d-436d-8bf8-6ebb49879520" + }, + "target": { + "block": "34efd41c-8732-4b0e-a437-b3cb4b411d39", + "port": "98e23c4f-291f-4a6a-977f-dd1e9fd61186" + }, + "vertices": [ + { + "x": -256, + "y": 104 + } + ], + "size": 8 + } + ] + } + } + }, + "33e50db9d48b2ac603cb27c1e0d79c8c449fc4fb": { + "package": { + "name": "Bus24-Join-one-third", + "version": "0.1", + "description": "Bus24-Join-one-third: Join the three buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3abd42f7-f396-4aa1-981a-889c0c279d10", + "type": "basic.input", + "data": { + "name": "2", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 112, + "y": 104 + } + }, + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 200 + } + }, + { + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "4f42dbcc-6f8d-49de-9291-7a602cce9d27", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 288 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i2", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + }, + { + "source": { + "block": "4f42dbcc-6f8d-49de-9291-7a602cce9d27", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "3abd42f7-f396-4aa1-981a-889c0c279d10", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "size": 8 + } + ] + } + } + }, + "cf434451314de2d169c80ddfd83df7fd58182666": { + "package": { + "name": "DFF-rst-x08", + "version": "0.1", + "description": "DFF-rst-x08: Eight D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -496, + "y": -256 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -256 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -488, + "y": -184 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -184 + } + }, + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -152 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -16, + "y": -88 + } + }, + { + "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -48, + "y": 48 + } + }, + { + "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -48, + "y": 104 + } + }, + { + "id": "f1844cff-7fd4-4781-82ea-93999fec5e74", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 560, + "y": 128 + } + }, + { + "id": "98e23c4f-291f-4a6a-977f-dd1e9fd61186", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": -488, + "y": 160 + } + }, + { + "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 144, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "4be672f2-c453-4028-9da2-d6edebfab777", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": -320, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6da4d258-9a3e-446d-9085-2b9170fea487", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 392, + "y": 128 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "03291097-00e3-48db-9044-3c73ed88eeb8", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 144, + "y": -88 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": 64, + "y": 152 + } + ] + }, + { + "source": { + "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "6da4d258-9a3e-446d-9085-2b9170fea487", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "4be672f2-c453-4028-9da2-d6edebfab777", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "size": 4 + }, + { + "source": { + "block": "98e23c4f-291f-4a6a-977f-dd1e9fd61186", + "port": "out" + }, + "target": { + "block": "4be672f2-c453-4028-9da2-d6edebfab777", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "6da4d258-9a3e-446d-9085-2b9170fea487", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "f1844cff-7fd4-4781-82ea-93999fec5e74", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "4be672f2-c453-4028-9da2-d6edebfab777", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58" + }, + "target": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "vertices": [ + { + "x": -104, + "y": 32 + } + ], + "size": 4 + }, + { + "source": { + "block": "03291097-00e3-48db-9044-3c73ed88eeb8", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "6da4d258-9a3e-446d-9085-2b9170fea487", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + } + ] + } + } + }, + "9c4559db1fdec26319e6d6eb38f7622cb97039db": { + "package": { + "name": "Inc1-24bits", + "version": "0.1", + "description": "Inc1-24bit: Increment a 24-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "fd4d4e4e-dd92-4b76-a6d5-9b762b17396b", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 288, + "y": -152 + } + }, + { + "id": "1645d4a4-bbe5-4f2e-8c06-2b0bc1f4a8db", + "type": "basic.output", + "data": { + "name": "s", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "e0fd8cad-2704-4d20-9610-d0fcf51bef8f", + "type": "44c099cdc7a6cf9fbfa77006d47e996f643fff53", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "e0fd8cad-2704-4d20-9610-d0fcf51bef8f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "e0fd8cad-2704-4d20-9610-d0fcf51bef8f", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "fd4d4e4e-dd92-4b76-a6d5-9b762b17396b", + "port": "out" + }, + "target": { + "block": "e0fd8cad-2704-4d20-9610-d0fcf51bef8f", + "port": "176bfb23-fa63-433f-8928-717ce4072bcb" + }, + "size": 24 + }, + { + "source": { + "block": "e0fd8cad-2704-4d20-9610-d0fcf51bef8f", + "port": "4aac3b0e-53f3-4adf-aee9-83569d5e47d9" + }, + "target": { + "block": "1645d4a4-bbe5-4f2e-8c06-2b0bc1f4a8db", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "44c099cdc7a6cf9fbfa77006d47e996f643fff53": { + "package": { + "name": "AdderK-24bits", + "version": "0.1", + "description": "AdderK-24bit: Adder of 24-bit operand and 24-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "4aac3b0e-53f3-4adf-aee9-83569d5e47d9", + "type": "basic.output", + "data": { + "name": "s", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 624, + "y": -104 + } + }, + { + "id": "176bfb23-fa63-433f-8928-717ce4072bcb", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 224, + "y": -80 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 232, + "y": -272 + } + }, + { + "id": "60b5fc06-7bd6-4799-a628-cf38eb28c785", + "type": "4c802f22559756474dc3d43a97f91568941d171d", + "position": { + "x": 232, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "34406303-8cdf-4d6e-8b16-86c28db456ad", + "type": "91404d1e58c133c8ad9fe681f9f4f38e6b5f47d0", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "34406303-8cdf-4d6e-8b16-86c28db456ad", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "60b5fc06-7bd6-4799-a628-cf38eb28c785", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "34406303-8cdf-4d6e-8b16-86c28db456ad", + "port": "4f58ac7d-7f3d-47a4-863a-659bcc4c1d53" + }, + "target": { + "block": "4aac3b0e-53f3-4adf-aee9-83569d5e47d9", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "176bfb23-fa63-433f-8928-717ce4072bcb", + "port": "out" + }, + "target": { + "block": "34406303-8cdf-4d6e-8b16-86c28db456ad", + "port": "c37b5f19-0593-403c-bd31-56990c7eb37a" + }, + "size": 24 + }, + { + "source": { + "block": "60b5fc06-7bd6-4799-a628-cf38eb28c785", + "port": "968a742a-8970-4ac1-af06-efa0e851eda8" + }, + "target": { + "block": "34406303-8cdf-4d6e-8b16-86c28db456ad", + "port": "934a5244-9d2c-4665-bb14-f92b56c3203c" + }, + "size": 24 + } + ] + } + } + }, + "4c802f22559756474dc3d43a97f91568941d171d": { + "package": { + "name": "24-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 24-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "968a742a-8970-4ac1-af06-efa0e851eda8", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "968a742a-8970-4ac1-af06-efa0e851eda8", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "91404d1e58c133c8ad9fe681f9f4f38e6b5f47d0": { + "package": { + "name": "Adder-24bits", + "version": "0.1", + "description": "Adder-24bits: Adder of two operands of 24 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "type": "basic.outputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 584, + "y": -816 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1136, + "y": -776 + } + }, + { + "id": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "type": "basic.outputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 584, + "y": -752 + } + }, + { + "id": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "type": "basic.inputLabel", + "data": { + "name": "a2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 40, + "y": -672 + } + }, + { + "id": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "type": "basic.outputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 392, + "y": -640 + } + }, + { + "id": "4f58ac7d-7f3d-47a4-863a-659bcc4c1d53", + "type": "basic.output", + "data": { + "name": "s", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 1144, + "y": -616 + } + }, + { + "id": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "type": "basic.inputLabel", + "data": { + "name": "a1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 40, + "y": -608 + } + }, + { + "id": "934a5244-9d2c-4665-bb14-f92b56c3203c", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": -496, + "y": -608 + } + }, + { + "id": "4743defe-36c0-40a2-aaf0-188272583034", + "type": "basic.outputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 392, + "y": -560 + } + }, + { + "id": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": -160, + "y": -512 + } + }, + { + "id": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[7:0]", + "blockColor": "deeppink", + "size": 8 + }, + "position": { + "x": 224, + "y": -448 + } + }, + { + "id": "7114cf32-af2c-438f-b816-1043a8bd819d", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 224, + "y": -384 + } + }, + { + "id": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "type": "basic.inputLabel", + "data": { + "name": "b2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 24, + "y": -368 + } + }, + { + "id": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "type": "basic.inputLabel", + "data": { + "name": "b1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 24, + "y": -296 + } + }, + { + "id": "c37b5f19-0593-403c-bd31-56990c7eb37a", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": -496, + "y": -240 + } + }, + { + "id": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -160, + "y": -224 + } + }, + { + "id": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "type": "cb23aa3d8a3e95bd194fd9ec9b9c40b36595d893", + "position": { + "x": 392, + "y": -432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 560, + "y": -576 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "type": "8cc49c9b29485f2cfeab6064d7bb1cf9ced045b1", + "position": { + "x": 800, + "y": -520 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9ed89505-bd1e-4e7e-b4af-ae8c5a01bbfe", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -336, + "y": -240 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "27df49b2-0dd8-448e-a014-bca989657886", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -160, + "y": -312 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "fe7804dd-1170-4d4d-a734-cc17e193a209", + "type": "ab13f05d92d80a82af1a712a92621ea26dde55f3", + "position": { + "x": -328, + "y": -608 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "48515e04-a5ad-4b03-8ad2-58e336de48ca", + "type": "306ca367fbbc5181b3c709d73f447e0710871a1d", + "position": { + "x": -128, + "y": -624 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "type": "c3c498191b14e9288a85fa2871b3966665f75475", + "position": { + "x": 744, + "y": -768 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "type": "a52e3be3d374c081062b542fc91c5cb1be726cb8", + "position": { + "x": 976, + "y": -616 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "9ed89505-bd1e-4e7e-b4af-ae8c5a01bbfe", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "a7cd6890-7130-4f25-9966-b5ca1132d597", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "7114cf32-af2c-438f-b816-1043a8bd819d", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "63477487-9493-4058-a7e1-9bab443ec466", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "7d042e3c-08e0-4027-ba97-6f6143ac0b7e", + "port": "outlabel" + }, + "target": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "b4b08c4b-8eb1-4cd3-a1bf-a45bf7177ed3", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4f2c77a9-f54e-4c7e-b1b8-d1739ea521c7", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "4743defe-36c0-40a2-aaf0-188272583034", + "port": "outlabel" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "27df49b2-0dd8-448e-a014-bca989657886", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "a3761c9e-14ee-47ef-95f0-ddbb132ba6cf", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "fe7804dd-1170-4d4d-a734-cc17e193a209", + "port": "872cccab-7461-412b-9924-bad211fa1922", + "size": 8 + }, + "target": { + "block": "6736a55c-7818-4da9-9c1a-f57200ad2526", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "48515e04-a5ad-4b03-8ad2-58e336de48ca", + "port": "0e7ef93d-c4da-4c2e-9653-7a470dc912b6", + "size": 8 + }, + "target": { + "block": "e2589574-b6cc-4faf-8e69-3d23248bcba2", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "27df49b2-0dd8-448e-a014-bca989657886", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "389f9a01-b7df-4d16-8f0e-bb14b76997ce", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "48515e04-a5ad-4b03-8ad2-58e336de48ca", + "port": "6ac467f0-b16b-44ef-8f03-ae206c13186e", + "size": 8 + }, + "target": { + "block": "235e1fe4-919e-422d-9eb3-dc232e8f9407", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "cc858595-88b1-4f0b-baeb-77fdd9a4d7ed", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "3867504b-f331-4e0e-b923-acc86cb4255c", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "8ac0c222-8cbc-4974-bfd2-43f11eb8d8ad", + "port": "outlabel" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4bf17d89-89b8-4524-800a-2d15f9bbb111", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "5a35cd57-1006-4f6d-b263-5b977e9ef142" + }, + "size": 8 + }, + { + "source": { + "block": "64c3574b-3227-40ab-ab03-c2e2af710a45", + "port": "dc2d9a2c-9ee9-4985-a678-d88c89fd8b74" + }, + "target": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "38fdb02d-4323-4a34-9ffe-8385f844b660" + }, + "size": 8 + }, + { + "source": { + "block": "0857be54-1eea-4619-841f-b1bb49aa66d0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "1fb72b69-63b6-47c0-a5bc-e31bd2611c86" + } + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "17bd7ef3-6604-48a9-9c2e-50a8be908636", + "port": "79c56f9a-597f-491e-b1d1-d321f63303c2" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "c4ca0e6a-6557-45ee-8363-1bffebaad486" + }, + "size": 16 + }, + { + "source": { + "block": "c37b5f19-0593-403c-bd31-56990c7eb37a", + "port": "out" + }, + "target": { + "block": "9ed89505-bd1e-4e7e-b4af-ae8c5a01bbfe", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "9ed89505-bd1e-4e7e-b4af-ae8c5a01bbfe", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "27df49b2-0dd8-448e-a014-bca989657886", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "934a5244-9d2c-4665-bb14-f92b56c3203c", + "port": "out" + }, + "target": { + "block": "fe7804dd-1170-4d4d-a734-cc17e193a209", + "port": "901245a6-b7da-4af7-8917-7a47e5675ae1" + }, + "size": 24 + }, + { + "source": { + "block": "fe7804dd-1170-4d4d-a734-cc17e193a209", + "port": "4ca7b72f-724d-435c-8490-645c338586db" + }, + "target": { + "block": "48515e04-a5ad-4b03-8ad2-58e336de48ca", + "port": "a0c9783a-c287-45a7-bfc7-9da552cf732f" + }, + "size": 16 + }, + { + "source": { + "block": "dcab5da0-fe7e-4131-af28-829e18fbb525", + "port": "9daaaf78-5a89-4d4a-a1b2-db1d3af962f1" + }, + "target": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e" + }, + "size": 8 + }, + { + "source": { + "block": "c047f19d-fd2f-4cf6-a055-3b4ae336688a", + "port": "756c8eb7-3567-48b4-9757-fe992499b794" + }, + "target": { + "block": "4f58ac7d-7f3d-47a4-863a-659bcc4c1d53", + "port": "in" + }, + "size": 24 + } + ] + } + } + }, + "ab13f05d92d80a82af1a712a92621ea26dde55f3": { + "package": { + "name": "Bus24-Split-16-8", + "version": "0.1", + "description": "Bus24-Split-16-8: Split the 24-bits bus into two buses of 16 and 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4ca7b72f-724d-435c-8490-645c338586db", + "type": "basic.output", + "data": { + "name": "1", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 600, + "y": 128 + } + }, + { + "id": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "type": "basic.input", + "data": { + "name": "", + "range": "[23:0]", + "clock": false, + "size": 24 + }, + "position": { + "x": 96, + "y": 200 + } + }, + { + "id": "872cccab-7461-412b-9924-bad211fa1922", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 592, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[23:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[23:0]", + "size": 24 + } + ], + "out": [ + { + "name": "o1", + "range": "[15:0]", + "size": 16 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 168 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "901245a6-b7da-4af7-8917-7a47e5675ae1", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 24 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "4ca7b72f-724d-435c-8490-645c338586db", + "port": "in" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "872cccab-7461-412b-9924-bad211fa1922", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "a52e3be3d374c081062b542fc91c5cb1be726cb8": { + "package": { + "name": "Bus24-Join-8-16 CLONE", + "version": "0.1-c1628232010333", + "description": "Bus24-Join-8-16: Join the two buses into an 24-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 168 + } + }, + { + "id": "756c8eb7-3567-48b4-9757-fe992499b794", + "type": "basic.output", + "data": { + "name": "", + "range": "[23:0]", + "size": 24 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "type": "basic.input", + "data": { + "name": "0", + "range": "[15:0]", + "clock": false, + "size": 16 + }, + "position": { + "x": 120, + "y": 264 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[15:0]", + "size": 16 + } + ], + "out": [ + { + "name": "o", + "range": "[23:0]", + "size": 24 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "c4ca0e6a-6557-45ee-8363-1bffebaad486", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 16 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "756c8eb7-3567-48b4-9757-fe992499b794", + "port": "in" + }, + "size": 24 + }, + { + "source": { + "block": "29a44a77-ec20-436d-a9e8-7fc2ca5de57e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + } + ] + } + } + }, + "857d2eb32ca5c8d6febf39be6bb15e7fd8848bbd": { + "package": { + "name": "Reg-x08", + "version": "0.1", + "description": "Reg-x08: 8-bits register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621769699463 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 256, + "y": -400 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 408, + "y": -400 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": -264 + } + }, + { + "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 616, + "y": -128 + } + }, + { + "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": -40 + } + }, + { + "id": "068619e3-63e0-40f4-9146-b5e6ec447362", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 264, + "y": 8 + } + }, + { + "id": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1104, + "y": 8 + } + }, + { + "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 616, + "y": 104 + } + }, + { + "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 264, + "y": 120 + } + }, + { + "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 120 + } + }, + { + "id": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 408, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 960, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3100912a-0bf8-4e85-9583-59f67233b74d", + "type": "370cd6171b175b3049b9328737a60e489ac5de14", + "position": { + "x": 752, + "y": -216 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "type": "370cd6171b175b3049b9328737a60e489ac5de14", + "position": { + "x": 752, + "y": 8 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "port": "out" + }, + "target": { + "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "port": "outlabel" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + } + }, + { + "source": { + "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "port": "outlabel" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "port": "outlabel" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + } + }, + { + "source": { + "block": "068619e3-63e0-40f4-9146-b5e6ec447362", + "port": "out" + }, + "target": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef" + }, + "vertices": [ + { + "x": 576, + "y": -120 + } + ], + "size": 4 + }, + { + "source": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0" + }, + "target": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef" + }, + "size": 4 + }, + { + "source": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0" + }, + "target": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + } + ] + } + } + }, + "370cd6171b175b3049b9328737a60e489ac5de14": { + "package": { + "name": "Reg-x04", + "version": "0.1", + "description": "Reg-x04: 4-bits register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621769699463 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 256, + "y": -400 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 408, + "y": -400 + } + }, + { + "id": "4813673b-4a0c-4b82-b61e-6b8d9e4e371b", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 656, + "y": -392 + } + }, + { + "id": "37220042-7fe4-4798-9f5c-3bdc78e4814c", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 648, + "y": -240 + } + }, + { + "id": "6af95465-da68-462d-b21c-5e6a608c0ad1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -152 + } + }, + { + "id": "e1a3118f-2030-44d9-bf26-38b157118f62", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 648, + "y": -24 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 64 + } + }, + { + "id": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 280, + "y": 128 + } + }, + { + "id": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1216, + "y": 128 + } + }, + { + "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 320 + } + }, + { + "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 288, + "y": 376 + } + }, + { + "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 376 + } + }, + { + "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 632, + "y": 448 + } + }, + { + "id": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 776, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0a075d74-6834-4674-b242-d234fe3e7957", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 776, + "y": 368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 784, + "y": -96 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2e910ddd-0c05-48b3-a714-a76111311e63", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 432, + "y": 96 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 1024, + "y": 96 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 792, + "y": -336 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "port": "outlabel" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "port": "out" + }, + "target": { + "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "port": "inlabel" + } + }, + { + "source": { + "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "port": "outlabel" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "port": "outlabel" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "e1a3118f-2030-44d9-bf26-38b157118f62", + "port": "outlabel" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "6af95465-da68-462d-b21c-5e6a608c0ad1", + "port": "outlabel" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "4813673b-4a0c-4b82-b61e-6b8d9e4e371b", + "port": "outlabel" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "37220042-7fe4-4798-9f5c-3bdc78e4814c", + "port": "outlabel" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 584, + "y": 296 + } + ] + }, + { + "source": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [] + }, + { + "source": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + }, + "vertices": [] + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 584, + "y": 32 + } + ] + }, + { + "source": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 912, + "y": 48 + } + ] + }, + { + "source": { + "block": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef", + "port": "out" + }, + "target": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 560, + "y": -208 + } + ] + }, + { + "source": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "22cb989122c63147390b94df200e6d0f31e2d967": { + "package": { + "name": "1-bit-reg", + "version": "0.1", + "description": "Reg: 1-Bit register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1594812046378 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 440 + } + }, + { + "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 224, + "y": 440 + } + }, + { + "id": "fdd3edd9-8905-4c54-8364-502df1406563", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 640, + "y": 512 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 80, + "y": 552 + } + }, + { + "id": "f7308710-bc81-45c4-9d5b-333551811cfa", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "data", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 232, + "y": 552 + } + }, + { + "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 552 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1296, + "y": 592 + } + }, + { + "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1152, + "y": 592 + } + }, + { + "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 928, + "y": 600 + } + }, + { + "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "data", + "oldBlockColor": "darkgreen" + }, + "position": { + "x": 456, + "y": 616 + } + }, + { + "id": "f4898f99-23a3-47f9-9685-a1e967218958", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deepskyblue" + }, + "position": { + "x": 232, + "y": 632 + } + }, + { + "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 632 + } + }, + { + "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "load", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 688 + } + }, + { + "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 784, + "y": 504 + } + }, + { + "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", + "type": "basic.info", + "data": { + "info": "Mux 2-1", + "readonly": true + }, + "position": { + "x": 640, + "y": 712 + }, + "size": { + "width": 104, + "height": 40 + } + }, + { + "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", + "type": "basic.info", + "data": { + "info": "D Flip-flip\n(System)", + "readonly": true + }, + "position": { + "x": 776, + "y": 680 + }, + "size": { + "width": 120, + "height": 48 + } + }, + { + "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", + "position": { + "x": 616, + "y": 600 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 784, + "y": 600 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "port": "out" + }, + "target": { + "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "fdd3edd9-8905-4c54-8364-502df1406563", + "port": "outlabel" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f7308710-bc81-45c4-9d5b-333551811cfa", + "port": "inlabel" + } + }, + { + "source": { + "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "port": "out" + }, + "target": { + "block": "f4898f99-23a3-47f9-9685-a1e967218958", + "port": "inlabel" + } + }, + { + "source": { + "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 576, + "y": 600 + } + ] + }, + { + "source": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "port": "constant-out" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + } + ] + } + } + }, + "a40d2f1701efd21a866b461c33578f4aeac9205c": { + "package": { + "name": "MuxF-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels). Fippled version", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 144, + "y": 432 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 432 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 432 + } + }, + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 416, + "y": 496 + } + }, + { + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 704, + "y": 496 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 280, + "y": 504 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 144, + "y": 504 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 416, + "y": 568 + } + }, + { + "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 576, + "y": 480 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" + }, + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + } + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + } + ] + } + } + }, + "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 456, + "y": 360 + } + }, + { + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1096, + "y": 392 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 136, + "y": 416 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": 416 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 136, + "y": 528 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": 552 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 376, + "y": 656 + } + }, + { + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" + }, + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + } + ] + } + } + }, + "9c1f69be3acbabd24de495cbbb335ca72ec4e886": { + "package": { + "name": "Bus8-Split-3-5", + "version": "0.1", + "description": "Bus8-Split-3-5: Split the 8-bits bus into two buses of 3 and 5 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e2c79729-2f74-41e5-b3a3-d2319a53c0ae", + "type": "basic.output", + "data": { + "name": "1", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 600, + "y": 176 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "d0500438-fd2b-42fa-b965-b61137c947ba", + "type": "basic.output", + "data": { + "name": "0", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 584, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:5];\nassign o0 = i[4:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[2:0]", + "size": 3 + }, + { + "name": "o0", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "d0500438-fd2b-42fa-b965-b61137c947ba", + "port": "in" + }, + "size": 5 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "e2c79729-2f74-41e5-b3a3-d2319a53c0ae", + "port": "in" + }, + "size": 3 + } + ] + } + } + }, + "89d2342d9664348db3e70e823cacbc8eb24ea3d0": { + "package": { + "name": "Registro", + "version": "0.1", + "description": "Registro de 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22519.236%22%20height=%22279.911%22%20viewBox=%220%200%20137.38127%2074.059853%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke=%22#000%22%20stroke-width=%221.092%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M81.95%2040.152l13.607%2016.672L88.64%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M74.566%2032.088l-9.232-19.613-6.451%203.724-2.069-3.583L77.719.546l2.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L73.46%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M121.637%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M114.253%2032.088l-9.232-19.613-6.45%203.724-2.07-3.583L117.407.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L113.147%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "096f61b6-6d5c-4907-9512-e65b25969458", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 176 + } + }, + { + "id": "d3e4f800-c611-4f65-88e7-8b7d2def0021", + "type": "basic.output", + "data": { + "name": "q", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 632, + "y": 248 + } + }, + { + "id": "42b35cc2-aa64-4e66-be42-169958246799", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 80, + "y": 248 + } + }, + { + "id": "065ea371-8398-43b3-8341-287c234a3acb", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 312 + } + }, + { + "id": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 376, + "y": 56 + } + }, + { + "id": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "type": "basic.code", + "data": { + "code": "localparam N = 8;\n\nreg [N-1:0] q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d", + "range": "[7:0]", + "size": 8 + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 280, + "y": 176 + }, + "size": { + "width": 288, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "f3b434e4-0c8f-4dd7-90c7-305189a807f1", + "port": "constant-out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "INI" + }, + "vertices": [] + }, + { + "source": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "q" + }, + "target": { + "block": "d3e4f800-c611-4f65-88e7-8b7d2def0021", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "42b35cc2-aa64-4e66-be42-169958246799", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "d" + }, + "size": 8 + }, + { + "source": { + "block": "065ea371-8398-43b3-8341-287c234a3acb", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "load" + } + }, + { + "source": { + "block": "096f61b6-6d5c-4907-9512-e65b25969458", + "port": "out" + }, + "target": { + "block": "32106310-bfdc-41db-9a7c-2dadd5016c3f", + "port": "clk" + } + } + ] + } + } + }, + "ffc517ae50d4171640702dac38a546757cc9ae35": { + "package": { + "name": "8-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 8-bits generic constant (0-255)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 952, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "1bbb5bf71da86eaa4330fad867f6aefc679a4433": { + "package": { + "name": "8-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (8-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "type": "basic.outputLabel", + "data": { + "name": "A1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 768, + "y": 192 + } + }, + { + "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "type": "basic.inputLabel", + "data": { + "name": "A1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 208 + } + }, + { + "id": "520455a3-592c-4fd0-ade9-62d366c88919", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 200, + "y": 264 + } + }, + { + "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "type": "basic.outputLabel", + "data": { + "name": "B1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "turquoise", + "size": 4 + }, + "position": { + "x": 768, + "y": 264 + } + }, + { + "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "type": "basic.inputLabel", + "data": { + "name": "A0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 544, + "y": 280 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 416 + } + }, + { + "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1336, + "y": 432 + } + }, + { + "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "type": "basic.inputLabel", + "data": { + "name": "B1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "lightseagreen" + }, + "position": { + "x": 584, + "y": 528 + } + }, + { + "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "type": "basic.outputLabel", + "data": { + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 808, + "y": 552 + } + }, + { + "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 208, + "y": 592 + } + }, + { + "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "type": "basic.inputLabel", + "data": { + "name": "B0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "turquoise" + }, + "position": { + "x": 584, + "y": 608 + } + }, + { + "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "type": "basic.outputLabel", + "data": { + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 + }, + "position": { + "x": 808, + "y": 616 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 680 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 392, + "y": 768 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 768 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 424, + "y": 512 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", + "position": { + "x": 968, + "y": 568 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 424, + "y": 168 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 1152, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "10dbbb33-fa47-4d9f-8723-170519859684", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 376, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9714e6fb-453b-435a-b124-72e5a30ff428", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 392, + "y": 592 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", + "position": { + "x": 960, + "y": 248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "vertices": [ + { + "x": 928, + "y": 624 + } + ], + "size": 4 + }, + { + "source": { + "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "520455a3-592c-4fd0-ade9-62d366c88919", + "port": "out" + }, + "target": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "e045f285-627f-42f7-b073-faa36ef6d420", + "port": "out" + }, + "target": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "vertices": [ + { + "x": 1088, + "y": 360 + } + ], + "size": 4 + } + ] + } + } + }, + "952eda35358117b68b3f8a2489e9dc86168d0144": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": -72 + } + }, + { + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 0 + } + }, + { + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 72 + } + }, + { + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 160 + } + }, + { + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 160 + } + }, + { + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 224 + } + }, + { + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 232 + } + }, + { + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 240 + } + }, + { + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 432, + "y": 296 + } + }, + { + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 304 + } + }, + { + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 360 + } + }, + { + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 400 + } + }, + { + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1256, + "y": 416 + } + }, + { + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 456, + "y": 464 + } + }, + { + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 488 + } + }, + { + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 464, + "y": 528 + } + }, + { + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 544 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 560 + } + }, + { + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 464, + "y": 592 + } + }, + { + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0" + }, + "position": { + "x": 720, + "y": 648 + } + }, + { + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 648 + } + }, + { + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": 720 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 104, + "y": 728 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 264, + "y": 728 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 800 + } + }, + { + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 472 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 864, + "y": 704 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 304, + "y": 128 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 304, + "y": 480 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 216 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 1040, + "y": 384 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": -16 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 288, + "y": 512 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 280, + "y": 208 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "port": "inlabel" + } + }, + { + "source": { + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "port": "inlabel" + } + }, + { + "source": { + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "port": "inlabel" + } + }, + { + "source": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 984, + "y": 472 + } + ] + }, + { + "source": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 976, + "y": 352 + } + ] + }, + { + "source": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" + }, + "target": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" + }, + "target": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + } + ] + } + } + }, + "78be078f7f5f29f81b4664a2bc3f6c05c1daf4f2": { + "package": { + "name": "Bus8-Split-1-7", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of 1 and 7 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6461d1f4-0bf2-46a4-8c57-46d4419eba2b", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "7851244f-72ce-4b5b-a481-c1202933c8be", + "type": "basic.output", + "data": { + "name": "0", + "range": "[6:0]", + "size": 7 + }, + "position": { + "x": 584, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7];\nassign o0 = i[6:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[6:0]", + "size": 7 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "7851244f-72ce-4b5b-a481-c1202933c8be", + "port": "in" + }, + "size": 7 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "6461d1f4-0bf2-46a4-8c57-46d4419eba2b", + "port": "in" + } + } + ] + } + } + }, + "4c1570e58dc7efecf440f8a4ff7d1dda4250ef0c": { + "package": { + "name": "RS-FF-set", + "version": "0.1", + "description": "RS-FF-set. RS Flip-flop with priority set", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -40 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "output" + }, + "position": { + "x": 1496, + "y": 40 + } + }, + { + "id": "97800965-0802-4a8f-9ed0-e61db33ae442", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1352, + "y": 40 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": 136 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "set", + "clock": false + }, + "position": { + "x": 216, + "y": 136 + } + }, + { + "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", + "type": "basic.inputLabel", + "data": { + "blockColor": "royalblue", + "name": "set", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": 136 + } + }, + { + "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "reset", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": 216 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 224, + "y": 216 + } + }, + { + "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1304, + "y": 232 + } + }, + { + "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 248 + } + }, + { + "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", + "type": "basic.outputLabel", + "data": { + "blockColor": "royalblue", + "name": "set", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 816, + "y": 344 + } + }, + { + "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "reset", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 344 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 1176, + "y": 136 + } + }, + { + "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 1176, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 968, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "8d656647-f4ea-475e-b4c1-54787973b618", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 800, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 800, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 632, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "864d85b3-242c-408a-9e20-fb4931e68f70", + "type": "basic.info", + "data": { + "info": "Priority for the set", + "readonly": true + }, + "position": { + "x": 1000, + "y": 344 + }, + "size": { + "width": 184, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", + "port": "outlabel" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "port": "outlabel" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", + "port": "outlabel" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1128, + "y": 208 + } + ] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", + "port": "inlabel" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", + "port": "inlabel" + } + }, + { + "source": { + "block": "97800965-0802-4a8f-9ed0-e61db33ae442", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + }, + "vertices": [] + }, + { + "source": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "8d656647-f4ea-475e-b4c1-54787973b618", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + } + ] + } + } + }, + "da0861afd3d2e1db0b7bde922cdad9c4a1258652": { + "package": { + "name": "8bits-Value_0", + "version": "0.0.1", + "description": "8bits constant value: 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22153.645%22%20height=%22230.616%22%20viewBox=%220%200%20144.04211%20216.20273%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M72.021%2022.332q-21.774%200-32.8%2021.495-10.887%2021.355-10.887%2064.344%200%2042.85%2010.887%2064.345%2011.026%2021.355%2032.8%2021.355%2021.913%200%2032.8-21.355%2011.027-21.495%2011.027-64.345%200-42.99-11.027-64.344-10.887-21.495-32.8-21.495zm0-22.332q35.034%200%2053.458%2027.776%2018.563%2027.636%2018.563%2080.395%200%2052.62-18.563%2080.396-18.424%2027.636-53.458%2027.636-35.033%200-53.597-27.636Q0%20160.79%200%20108.17q0-52.76%2018.424-80.395Q36.988%200%2072.021%200z%22%20style=%22line-height:1.25%22%20font-size=%22285.851%22%20font-family=%22sans-serif%22%20stroke-width=%227.146%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "56102125-3ee5-4ee9-94d5-e66dfcacb7de", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 896, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "type": "ffc517ae50d4171640702dac38a546757cc9ae35", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "port": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917" + }, + "target": { + "block": "56102125-3ee5-4ee9-94d5-e66dfcacb7de", + "port": "in" + }, + "size": 8 + } + ] + } + } + } + } +} \ No newline at end of file diff --git a/examples/Icebreaker/03-riscv-stop-watch.ice b/examples/Icebreaker/03-riscv-stop-watch.ice new file mode 100644 index 0000000..59bcc7f --- /dev/null +++ b/examples/Icebreaker/03-riscv-stop-watch.ice @@ -0,0 +1,28384 @@ +{ + "version": "1.2", + "package": { + "name": "", + "version": "", + "description": "", + "author": "", + "image": "" + }, + "design": { + "board": "iCEBreaker", + "graph": { + "blocks": [ + { + "id": "fd7fea81-b0bf-4086-b722-3223a767fbdb", + "type": "basic.output", + "data": { + "name": "LED", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "LED_B", + "value": "41" + }, + { + "index": "6", + "name": "LEDG", + "value": "37" + }, + { + "index": "5", + "name": "LEDR", + "value": "11" + }, + { + "index": "4", + "name": "LED5", + "value": "21" + }, + { + "index": "3", + "name": "LED3", + "value": "25" + }, + { + "index": "2", + "name": "LED4", + "value": "23" + }, + { + "index": "1", + "name": "LED2", + "value": "27" + }, + { + "index": "0", + "name": "LED1", + "value": "26" + } + ], + "virtual": false + }, + "position": { + "x": 1336, + "y": 208 + } + }, + { + "id": "8f3bbd86-d015-4345-b168-3271cc6f6f85", + "type": "basic.input", + "data": { + "name": "btn", + "range": "[1:0]", + "pins": [ + { + "index": "1", + "name": "BTN2", + "value": "19" + }, + { + "index": "0", + "name": "BTN1", + "value": "20" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 472, + "y": 336 + } + }, + { + "id": "4728e93c-7cee-4aca-bfbd-efaf032f5260", + "type": "basic.memory", + "data": { + "name": "Stopwatch program (machine code)", + "list": "00008437\nf0040413\n00000493\n00000913\n00100a13\n00940023\n050000ef\n000a0463\n00148493\n012009b3\n00440903\n013942b3\nfe0282e3\n0012f313\n00031863\n0022f313\n00031c63\nfd1ff06f\n00091463\nfc9ff06f\n001a4a13\nfc1ff06f\n00091463\nfb9ff06f\n00000493\nfb1ff06f\n000102b7\nfff28293\nfff28293\nfe029ee3\n00008067", + "local": false, + "format": 10 + }, + "position": { + "x": 920, + "y": 112 + }, + "size": { + "width": 264, + "height": 128 + } + }, + { + "id": "0af214eb-b035-424a-990b-6c5abf7fc06e", + "type": "6809d2a5bbb6276fc06b9f532c913d9b9fdf6157", + "position": { + "x": 1008, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "7332185b-f479-4812-ac12-dfec24b05a37", + "type": "basic.info", + "data": { + "info": "## LEDs", + "readonly": true + }, + "position": { + "x": 1336, + "y": 136 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "548537d2-05af-4772-8269-4a4a038e8fd5", + "type": "basic.info", + "data": { + "info": "## Icebreaker: RISC-V stopwatch on LEDs", + "readonly": true + }, + "position": { + "x": 776, + "y": -64 + }, + "size": { + "width": 496, + "height": 48 + } + }, + { + "id": "b8241070-454a-4a2b-a60c-0ad17fb09cb1", + "type": "8efab2dc4a8a2ff18fbaea8e4c9ce284db0ca362", + "position": { + "x": 816, + "y": 336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b90e15ca-ab40-44a0-8aed-11407049be41", + "type": "7caf1cec6fc588d3237376acee34da8c2c24dc1a", + "position": { + "x": 640, + "y": 336 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ab39a3d3-a857-4158-87fa-996fbc4aa932", + "type": "basic.info", + "data": { + "info": "RISC-V computer", + "readonly": true + }, + "position": { + "x": 1000, + "y": 400 + }, + "size": { + "width": 192, + "height": 32 + } + }, + { + "id": "626d2490-9b2f-4ccd-ad8b-9a0c7df39b0e", + "type": "basic.info", + "data": { + "info": "## Buttons\n* SW1: Stop/Start \n* SW2: Reset", + "readonly": true + }, + "position": { + "x": 456, + "y": 208 + }, + "size": { + "width": 232, + "height": 80 + } + }, + { + "id": "baf1c2cd-a111-475f-982a-99ad18ced773", + "type": "basic.info", + "data": { + "info": "Extend the 2-bit number to \n8 bits (adding leading 0s)", + "readonly": true + }, + "position": { + "x": 784, + "y": 416 + }, + "size": { + "width": 240, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "0af214eb-b035-424a-990b-6c5abf7fc06e", + "port": "2feffd90-6219-4d31-965a-0edd29c0f780" + }, + "target": { + "block": "fd7fea81-b0bf-4086-b722-3223a767fbdb", + "port": "in" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "4728e93c-7cee-4aca-bfbd-efaf032f5260", + "port": "memory-out" + }, + "target": { + "block": "0af214eb-b035-424a-990b-6c5abf7fc06e", + "port": "2744fe2b-63cf-486b-8463-d01833df3ff2" + } + }, + { + "source": { + "block": "b90e15ca-ab40-44a0-8aed-11407049be41", + "port": "6b884be9-7f53-4d15-8b44-dc3232221334" + }, + "target": { + "block": "b8241070-454a-4a2b-a60c-0ad17fb09cb1", + "port": "bd97a132-03c8-432d-92d9-e0d954f356d9" + }, + "vertices": [], + "size": 2 + }, + { + "source": { + "block": "8f3bbd86-d015-4345-b168-3271cc6f6f85", + "port": "out" + }, + "target": { + "block": "b90e15ca-ab40-44a0-8aed-11407049be41", + "port": "86ad1537-2ad1-475c-8402-76c08bbfe72c" + }, + "vertices": [], + "size": 2 + }, + { + "source": { + "block": "b8241070-454a-4a2b-a60c-0ad17fb09cb1", + "port": "2110b07a-0d20-4fad-8cf9-cb00a7e251f3" + }, + "target": { + "block": "0af214eb-b035-424a-990b-6c5abf7fc06e", + "port": "59095723-3090-4699-b192-76cc465ab5b1" + }, + "size": 8 + } + ] + } + }, + "dependencies": { + "6809d2a5bbb6276fc06b9f532c913d9b9fdf6157": { + "package": { + "name": "Generic-comp-clk", + "version": "0.1", + "description": "Generic component with clk input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%20984.29999%20854.57001%22%20width=%22984.3%22%20height=%22854.57%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M121.8%20702.72h746.8l115.7%20121.5v30.35H0v-30.15l121.7-121.7M180.58.02h624.1c29.18.11%2049.6%2022.97%2049.6%2050.65v474c0%2043.48-22.66%2064.43-65.67%2064.43h-180.7c2.86%2012.51%206.64%2025.29%2014.89%2035.41%205.79%207.21%2014.42%2012.24%2023.72%2012.98%203.94.32%208.33.05%2011.66%202.66%205.46%204.27%205.37%2013.76-.31%2017.77-2.4%201.7-5.39%202.23-8.25%202.23h-314c-3.95%200-8.06-.04-11.74-1.64-2.16-.94-4-3.32-3.46-5.78%201.14-5.16%205.32-8.84%209.27-11.98%205.15-4.08%2011.82-5.23%2017.36-8.61%2015.35-8.71%2024.99-25.69%2026.59-43.04h-192c-26.6%200-51.72-24.72-51.72-54.39V51.61c0-27.49%2023.1-51.61%2050.66-51.61z%22%20fill=%22#36c%22/%3E%3Cpath%20d=%22M868.2%20768.42c-5.21%201.14-10.71%203.21-14%207.68-1.7%202.3-1.54%205.63.29%207.82%202.51%203%206.09%204.92%209.74%206.09%209.98%203.18%2021.13%202.98%2030.89-.92%204.08-1.63%209.09-4.56%208.98-9.42-.11-4.77-4.97-7.69-9.02-9.32-8.46-3.42-18.01-3.88-26.88-1.93zm-65.01-.01c-4.8%201.11-9.72%202.9-13.24%206.49-2.16%202.21-2.82%205.93-.92%208.52%202.68%203.66%206.98%205.71%2011.24%206.92%209.52%202.69%2019.96%202.53%2029.23-1.01%204.28-1.64%209.52-4.61%209.53-9.66.01-4.47-4.48-7.34-8.26-8.97-8.62-3.71-18.44-4.21-27.58-2.29m-69.05-.12c-5.48%201.17-11.4%203.2-14.8%207.97-2.12%202.97-.83%206.9%201.76%209.1%205.7%204.86%2013.42%206.43%2020.68%206.77%207.45.34%2015.24-.89%2021.8-4.64%203.07-1.76%206.45-4.92%205.65-8.78-.84-4.05-4.76-6.57-8.33-8.11-8.37-3.6-17.88-4.04-26.76-2.31m-69.96.07c-4.63.98-9.38%202.55-12.89%205.85-1.89%201.78-3.39%204.58-2.43%207.26%201.53%204.28%205.82%206.7%209.85%208.13%2010.59%203.75%2022.75%203.64%2033.05-.97%203.38-1.51%207.15-4.06%207.73-8.06.61-4.28-3.19-7.35-6.54-9.08-8.77-4.51-19.2-5.06-28.77-3.13m-71%20.01c-5.42%201.21-11.36%203.19-14.63%208.03-1.95%202.89-.7%206.63%201.65%208.81%203.3%203.07%207.66%204.75%2012%205.72%209.42%202.11%2019.7%201.77%2028.55-2.28%203.38-1.55%207.12-4.09%207.72-8.09.63-4.25-3.18-7.35-6.51-9.07-8.77-4.54-19.21-5.05-28.78-3.12m-71-.01c-5.44%201.2-11.36%203.21-14.67%208.03-1.99%202.91-.65%206.61%201.69%208.82%203.61%203.39%208.49%205.02%2013.26%205.98%209.29%201.86%2019.4%201.33%2027.97-2.88%203.31-1.62%207.15-4.4%207.11-8.56-.04-3.92-3.46-6.65-6.59-8.26-8.77-4.51-19.2-5.07-28.77-3.13m-71%200c-4.64.98-9.35%202.59-12.89%205.86-2.13%201.98-3.58%205.21-2.14%208.05%202.17%204.28%206.79%206.54%2011.15%207.87%2010.43%203.19%2022.24%202.92%2032.14-1.84%203.32-1.6%207.12-4.39%207.08-8.55-.03-3.92-3.44-6.65-6.57-8.26-8.77-4.52-19.21-5.07-28.77-3.13m-70.06-.16c-4.75.88-9.55%202.42-13.31%205.54-2.39%201.98-4.25%205.42-2.66%208.52%202.19%204.27%206.78%206.56%2011.13%207.89%2010.2%203.11%2021.7%202.96%2031.48-1.49%203.55-1.62%207.79-4.47%207.77-8.91-.02-3.92-3.44-6.64-6.58-8.26-8.48-4.37-18.54-5.01-27.83-3.29m-71.94.17c-4.83%201.03-9.89%202.66-13.37%206.34-1.64%201.73-2.92%204.31-1.99%206.76%201.74%204.57%206.43%207%2010.76%208.43%2010.37%203.41%2022.18%203.28%2032.18-1.24%203.37-1.52%207.21-4.05%207.74-8.05.57-4.28-3.16-7.39-6.55-9.12-8.78-4.47-19.21-5.07-28.77-3.12m-71-.01c-5.4%201.25-11.37%203.2-14.63%208.04-2.27%203.37-.17%207.56%202.73%209.73%206.13%204.57%2014.02%205.98%2021.47%206.05%207.07.06%2014.49-1.12%2020.57-4.94%202.59-1.63%205.28-4.11%205.21-7.49-.08-3.93-3.43-6.63-6.58-8.26-8.76-4.53-19.2-5.04-28.77-3.13m-70.06-.16c-4.97.92-10.04%202.56-13.83%206.03-2.13%201.96-3.6%205.24-2.15%208.04%202.2%204.24%206.78%206.56%2011.15%207.88%2010.44%203.14%2022.25%202.96%2032.15-1.83%203.33-1.61%207.12-4.4%207.08-8.57-.03-3.93-3.43-6.64-6.56-8.26-8.48-4.39-18.56-5-27.84-3.29m-71.94.17c-5.62%201.29-11.97%203.37-15%208.73-1.59%202.82-.07%206.12%202.02%208.12%203.25%203.12%207.66%204.73%2012.01%205.7%209.66%202.17%2020.26%201.78%2029.23-2.61%203.33-1.63%207.13-4.41%207.09-8.57-.04-3.93-3.44-6.63-6.58-8.25-8.77-4.53-19.2-5.03-28.77-3.12m742-39.98c-5.94.9-12.21%202.7-16.5%207.18-2.4%202.51-2.58%206.73-.15%209.31%204.33%204.61%2010.68%206.53%2016.74%207.33%209.03%201.2%2018.78.43%2026.81-4.2%202.93-1.69%205.93-4.61%205.56-8.28-.38-3.79-3.81-6.32-6.97-7.88-7.83-3.86-16.92-4.56-25.49-3.46m-68.02-.01c-5.74.89-11.74%202.55-16.09%206.61-2.2%202.05-3.39%205.43-1.82%208.22%202.47%204.4%207.51%206.52%2012.12%207.8%209.78%202.71%2020.69%202.47%2030.05-1.66%203.41-1.5%207.18-3.99%207.98-7.9.81-3.93-2.49-7.15-5.6-8.91-8.01-4.53-17.65-5.28-26.64-4.16m-69.98.05c-5.91.98-12.18%202.74-16.41%207.28-2.11%202.26-2.48%206.03-.52%208.52%203.65%204.62%209.54%206.66%2015.12%207.67%208.45%201.53%2017.49%201.11%2025.52-2.19%204.01-1.65%208.82-4.35%209.12-9.08.27-4.23-3.81-7.2-7.32-8.9-7.86-3.8-16.95-4.44-25.51-3.3m-70.97%200c-5.92%201.01-12.2%202.73-16.44%207.27-2.28%202.44-2.41%206.55-.09%209.06%204.39%204.75%2010.9%206.73%2017.1%207.5%209.1%201.13%2018.93.26%2026.96-4.56%202.81-1.69%205.57-4.56%205.18-7.99-.44-3.84-3.97-6.39-7.23-7.98-7.84-3.83-16.93-4.44-25.48-3.3m-71.01%200c-5.91%201-12.15%202.76-16.42%207.27-2.16%202.28-2.49%206.04-.54%208.52%203.81%204.87%2010.06%206.85%2015.9%207.8%208.89%201.45%2018.5.87%2026.69-3.22%203.49-1.74%207.66-4.77%207.13-9.07-.53-4.36-4.82-7.02-8.59-8.62-7.57-3.2-16.07-3.8-24.17-2.68m-71.01%200c-5.92.98-12.14%202.77-16.41%207.27-2.33%202.46-2.38%206.53-.1%209.06%204.14%204.6%2010.36%206.53%2016.25%207.38%209.13%201.32%2018.99.54%2027.19-4.07%203.04-1.71%206.22-4.64%205.8-8.37-.43-3.84-3.98-6.38-7.23-7.97-7.85-3.83-16.94-4.44-25.5-3.3m-71%200c-5.91.98-12.17%202.75-16.4%207.28-2.3%202.47-2.41%206.53-.11%209.05%204.16%204.56%2010.34%206.57%2016.24%207.39%209.36%201.31%2019.53.53%2027.82-4.46%202.8-1.68%205.59-4.55%205.18-7.98-.49-4.11-4.38-6.71-7.89-8.3-7.72-3.5-16.51-4.11-24.84-2.98m-70.98%200c-5.92%201.01-12.21%202.72-16.44%207.27-2.11%202.26-2.48%206.04-.53%208.52%203.81%204.87%2010.07%206.88%2015.9%207.81%209.12%201.46%2019.01.85%2027.33-3.58%203.27-1.74%206.9-4.71%206.45-8.74-.46-4.1-4.38-6.71-7.88-8.3-7.71-3.5-16.51-4.12-24.83-2.98m-71.03%200c-5.91.99-12.15%202.75-16.4%207.27-2.31%202.45-2.41%206.55-.1%209.06%204%204.34%209.83%206.35%2015.46%207.26%208.66%201.39%2017.92.84%2025.98-2.89%203.55-1.65%207.56-4.26%207.83-8.5.26-3.96-3.38-6.89-6.61-8.57-7.97-4.15-17.36-4.78-26.16-3.63m-70.97%200c-6.12%201.07-12.82%202.8-16.9%207.85-1.98%202.45-1.78%206.19.33%208.52%204.14%204.58%2010.39%206.5%2016.3%207.35%209.13%201.31%2018.99.52%2027.18-4.08%203.04-1.71%206.22-4.63%205.79-8.36-.48-4.1-4.38-6.69-7.87-8.29-7.71-3.52-16.51-4.12-24.83-2.99m-71.02%200c-5.91.97-12.17%202.76-16.4%207.29-2.31%202.47-2.4%206.52-.11%209.04%204.16%204.57%2010.35%206.54%2016.25%207.38%209.13%201.3%2018.98.52%2027.19-4.07%203.04-1.7%206.24-4.65%205.81-8.36-.47-4.11-4.38-6.7-7.89-8.3-7.72-3.52-16.52-4.11-24.85-2.98m355.9-245.2c-11.16%202.37-18.84%2015.02-15.44%2025.94%203.16%2010.15%2014.9%2016.8%2025.21%2013.76%209.84-2.9%2016.64-13.78%2014.36-23.91-2.35-10.47-13.52-18.04-24.13-15.79zm-274.9-399.3v342.3h553.5V83.93zM1350.88%2014.13h345.277v350.76H1350.88zm.629%20368.91h342.167v65.334h-342.167z%22%20fill=%22#fff%22/%3E%3Cpath%20d=%22M307.72%20278.374v-139.34h39.798c25.06%200%2041.328.167%2043.93.45%2027.165%202.955%2046.155%2019.918%2050.94%2045.5%201.017%205.443%201.026%2014.93.014%2020.635-3.697%2020.907-17.224%2037.035-36.712%2043.774-2.395.828-6.416%201.939-8.935%202.47-4.485.942-5.246.965-36.597%201.1l-32.016.137v23.264l23.523%2027.809c12.937%2015.296%2039.834%2047.1%2059.77%2070.677l36.247%2042.866H307.72zm214.702%20138.81c.173-.294%202.17-2.926%204.437-5.85%202.267-2.925%2027.814-38.441%2056.772-78.925%2028.959-40.483%2052.82-73.787%2053.027-74.01.216-.233.375%2033.367.375%2079.457v79.86H579.57c-45.856%200-57.4-.107-57.147-.532z%22%20fill=%22#273272%22/%3E%3Cpath%20d=%22M445.546%20340.637c-21.63-25.555-39.38-46.579-39.441-46.72-.061-.143%201.565-.515%203.616-.827%209.685-1.48%2019.58-4.653%2029.257-9.381%2034.332-16.773%2053.935-52.827%2051.636-94.966-1.516-27.757-12.63-53.13-30.937-70.633-13.026-12.453-28.798-20.357-47.82-23.967l-5.215-.99%20115.195-.035%20115.196-.035v84.031l-72.174%20101.048c-55.168%2077.236-73.03%20101.951-75.801%20104.878-1.995%202.106-3.752%203.881-3.904%203.944-.153.064-17.976-20.794-39.608-46.347z%22%20fill=%22#f7b217%22/%3E%3C/svg%3E", + "otid": 1638034142355 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 192, + "y": 208 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 344, + "y": 208 + } + }, + { + "id": "2feffd90-6219-4d31-965a-0edd29c0f780", + "type": "basic.output", + "data": { + "name": "outp", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "LED7", + "value": "37" + }, + { + "index": "6", + "name": "LED6", + "value": "38" + }, + { + "index": "5", + "name": "LED5", + "value": "39" + }, + { + "index": "4", + "name": "LED4", + "value": "41" + }, + { + "index": "3", + "name": "LED3", + "value": "42" + }, + { + "index": "2", + "name": "LED2", + "value": "43" + }, + { + "index": "1", + "name": "LED1", + "value": "44" + }, + { + "index": "0", + "name": "LED0", + "value": "45" + } + ], + "virtual": false + }, + "position": { + "x": 1808, + "y": 240 + } + }, + { + "id": "2f536449-898c-4aa7-afed-3b942f3cd5ed", + "type": "basic.outputLabel", + "data": { + "name": "outp", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "turquoise" + }, + "position": { + "x": 1632, + "y": 240 + } + }, + { + "id": "4e1c5227-1138-4bac-9bf6-b947777b055b", + "type": "basic.inputLabel", + "data": { + "name": "inport", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "indianred", + "oldBlockColor": "darkgreen" + }, + "position": { + "x": 352, + "y": 304 + } + }, + { + "id": "59095723-3090-4699-b192-76cc465ab5b1", + "type": "basic.input", + "data": { + "name": "inp", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 192, + "y": 304 + } + }, + { + "id": "6be9ab70-c0cf-471b-809d-3d1d50b133ec", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 832, + "y": 544 + } + }, + { + "id": "49ce2643-a730-4f8b-8659-dead5552812c", + "type": "basic.outputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": 592 + } + }, + { + "id": "b734b7da-f59b-4236-a4a2-320283d53606", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1192, + "y": 600 + } + }, + { + "id": "51c050ec-1f3d-4d72-ae3c-a878e5e35e4e", + "type": "basic.inputLabel", + "data": { + "blockColor": "red", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 480, + "y": 688 + } + }, + { + "id": "b0e3a3aa-4581-4bf5-b5da-6fbff0c3b929", + "type": "basic.inputLabel", + "data": { + "blockColor": "royalblue", + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1192, + "y": 696 + } + }, + { + "id": "11eba046-9189-4b70-b9ef-366f86b6d936", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1344, + "y": 704 + } + }, + { + "id": "eba5ab63-2f6f-41bb-8578-3c69b9255522", + "type": "basic.outputLabel", + "data": { + "blockColor": "olivedrab", + "name": "data", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 784, + "y": 712 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 64, + "y": 720 + } + }, + { + "id": "d8eff1b4-8e93-4740-a94b-9760437588f5", + "type": "basic.inputLabel", + "data": { + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "darkorange", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1648, + "y": 752 + } + }, + { + "id": "57a6a9c8-e5f1-4fae-bfb6-06a73db39748", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1344, + "y": 792 + } + }, + { + "id": "57460e15-177d-4f49-9e92-f6bca4b76cf9", + "type": "basic.inputLabel", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deepskyblue", + "oldBlockColor": "yellow" + }, + "position": { + "x": 1168, + "y": 800 + } + }, + { + "id": "470e58f0-05c9-46a0-bfd1-b7c21bb93869", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkorange", + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 152, + "y": 912 + } + }, + { + "id": "14e1d2fe-0996-470f-9e74-5fcb31900295", + "type": "basic.outputLabel", + "data": { + "name": "inport", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "indianred", + "oldBlockColor": "darkgreen" + }, + "position": { + "x": 152, + "y": 968 + } + }, + { + "id": "02ccef5f-7bff-49d5-933b-b1141ba3a8fe", + "type": "basic.inputLabel", + "data": { + "blockColor": "olivedrab", + "name": "data", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 544, + "y": 984 + } + }, + { + "id": "6924b404-bdba-4515-8a63-fff760247275", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1528, + "y": 992 + } + }, + { + "id": "10865200-353e-440c-8e2e-7e7e93fdb36a", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 888, + "y": 1040 + } + }, + { + "id": "5ea21b4b-480b-4fe7-9b3f-5a314cdef89f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 160, + "y": 1048 + } + }, + { + "id": "9db39563-639a-4c9c-8c0f-bbf531d60660", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 1280, + "y": 1048 + } + }, + { + "id": "ed770d1a-1459-4a9d-8f82-a6bcdfb70661", + "type": "basic.outputLabel", + "data": { + "blockColor": "royalblue", + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1512, + "y": 1064 + } + }, + { + "id": "b60f2b22-c7cc-440c-9c62-feec678d9f31", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_btn", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 160, + "y": 1104 + } + }, + { + "id": "5ac608b6-e67f-46e6-be10-2876672a0142", + "type": "basic.inputLabel", + "data": { + "name": "outp", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "steelblue", + "blockColor": "turquoise" + }, + "position": { + "x": 1888, + "y": 1112 + } + }, + { + "id": "4eef741c-bc16-464d-86e5-d41f171d8608", + "type": "basic.outputLabel", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deepskyblue", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1512, + "y": 1128 + } + }, + { + "id": "b4aec069-1dd4-4b27-b8d2-bab43673cefc", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_leds", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1256, + "y": 1136 + } + }, + { + "id": "959b436d-e96b-4b63-92df-96f3dacaa2fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_leds", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1512, + "y": 1192 + } + }, + { + "id": "597da5a0-2c39-434b-a37d-1b258d9444e4", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_btn", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1200, + "y": 1232 + } + }, + { + "id": "2744fe2b-63cf-486b-8463-d01833df3ff2", + "type": "basic.memory", + "data": { + "name": "PROG", + "list": "00008437\nf0040413\n0ff00293\n00540023\n0000006f", + "local": false, + "format": 10 + }, + "position": { + "x": 1392, + "y": 560 + }, + "size": { + "width": 264, + "height": 128 + } + }, + { + "id": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "type": "f1cffe0819474c7b4c36893b94dfd3817e260223", + "position": { + "x": 992, + "y": 664 + }, + "size": { + "width": 96, + "height": 160 + } + }, + { + "id": "9520207b-dc4c-4afe-bacb-779edcca9d8e", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 776, + "y": 840 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e3b58289-52a9-4e33-8104-bd05a82a85c8", + "type": "basic.info", + "data": { + "info": "Mapa de Memoria\n\n| Dir | Recurso |\n|-------- |---------|\n| 0x7F00 | Puerto de salida |\n| 0x7F04 | Puerto de entrada |\n| 0x0FFF | Fin de RAM |\n| 0x0000 | Inicio de la RAM |", + "readonly": true + }, + "position": { + "x": 1776, + "y": 552 + }, + "size": { + "width": 280, + "height": 152 + } + }, + { + "id": "41705626-0707-409a-8299-5183fdae5498", + "type": "893ac6e614aaf0a2b555d48ea4cdd7ada4e66cfd", + "position": { + "x": 1480, + "y": 752 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e3902352-07f5-4ce3-9dd7-5620e59ed6a2", + "type": "e4c3a8b35a2360bde0cbdd672429f5db72ea02bc", + "position": { + "x": 1072, + "y": 1024 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "type": "f6866119e3bb7215f80946b1be6da33807267619", + "position": { + "x": 1720, + "y": 1080 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "type": "145d1e59e1ad2972dc3c7cb3026aecc647c0bcdf", + "position": { + "x": 368, + "y": 952 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "387f30bd-3a1f-400b-b766-c51ac57098bb", + "type": "04e0619f7e104d5ce88482df6cacc8030b4e24fa", + "position": { + "x": 192, + "y": 704 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0ca86037-cf5a-4158-a34d-b2691da7705f", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 352, + "y": 688 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "184258ce-6fc3-4df5-8a4a-9bc1cef85f52", + "type": "basic.info", + "data": { + "info": "# Generación del Pulso de Reset\n", + "readonly": true + }, + "position": { + "x": 176, + "y": 464 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "b4885ae2-803f-470d-af78-6c6bbd5c1aa2", + "type": "basic.info", + "data": { + "info": "El pulso de reset es de lógica negativa\n\n```\n(Reset) (Estado normal) \n ________________________________ \n32 ciclos | \n____________| \n```", + "readonly": true + }, + "position": { + "x": 176, + "y": 528 + }, + "size": { + "width": 456, + "height": 120 + } + }, + { + "id": "f3ed6a22-f455-46db-bb82-869cfe54175a", + "type": "basic.info", + "data": { + "info": "# Procesador (RISC-V): RV32I\n", + "readonly": true + }, + "position": { + "x": 760, + "y": 464 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "8b80bbfb-9a55-44f1-868d-95a91cd24496", + "type": "basic.info", + "data": { + "info": "Reset", + "readonly": true + }, + "position": { + "x": 744, + "y": 576 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "5d49b802-c9cc-4ff5-b607-87404ce28739", + "type": "basic.info", + "data": { + "info": "Instrucciones y datos", + "readonly": true + }, + "position": { + "x": 736, + "y": 680 + }, + "size": { + "width": 208, + "height": 32 + } + }, + { + "id": "bf8d045f-d501-45de-a61c-48594c9e7639", + "type": "basic.info", + "data": { + "info": "No hay esperas: la memoria y los \npuertos funcionan en 1 ciclo", + "readonly": true + }, + "position": { + "x": 688, + "y": 792 + }, + "size": { + "width": 272, + "height": 56 + } + }, + { + "id": "2fc597e4-71c2-43b2-9198-0afaa9c24b53", + "type": "basic.info", + "data": { + "info": "Dirección de memoria", + "readonly": true + }, + "position": { + "x": 1160, + "y": 576 + }, + "size": { + "width": 184, + "height": 40 + } + }, + { + "id": "980bfd79-5034-4e55-960b-4d43d28d5248", + "type": "basic.info", + "data": { + "info": "Datos a escribir", + "readonly": true + }, + "position": { + "x": 1208, + "y": 672 + }, + "size": { + "width": 168, + "height": 32 + } + }, + { + "id": "b27be471-c934-486a-9b75-259178244f3e", + "type": "basic.info", + "data": { + "info": "Control de escritura ", + "readonly": true + }, + "position": { + "x": 1168, + "y": 784 + }, + "size": { + "width": 192, + "height": 32 + } + }, + { + "id": "e07ce34c-e124-4584-a0fa-9952229da79c", + "type": "basic.info", + "data": { + "info": "# Memoria de instrucciones\n", + "readonly": true + }, + "position": { + "x": 1368, + "y": 472 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "a6b59f8a-62d1-4301-9d50-57e29a8f3964", + "type": "basic.info", + "data": { + "info": "Instrucción", + "readonly": true + }, + "position": { + "x": 1664, + "y": 728 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "137f2493-fa1f-4ea7-a918-13af90c1ca12", + "type": "basic.info", + "data": { + "info": "# Puerto de SALIDA\n", + "readonly": true + }, + "position": { + "x": 1504, + "y": 928 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "65d82539-d32e-4f10-acd1-4125bf364119", + "type": "basic.info", + "data": { + "info": "# Lógica de selección\n", + "readonly": true + }, + "position": { + "x": 888, + "y": 944 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "fc99691f-6c21-47ef-851a-616fbfefcd87", + "type": "basic.info", + "data": { + "info": "Acceso a ROM", + "readonly": true + }, + "position": { + "x": 1304, + "y": 1024 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "53e14821-9980-4906-928f-d18d85db2828", + "type": "basic.info", + "data": { + "info": "Puerto de salida", + "readonly": true + }, + "position": { + "x": 1272, + "y": 1120 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "e3ebe450-d8dc-4fb2-b4df-0dab966df61b", + "type": "basic.info", + "data": { + "info": "Puerto de entrada", + "readonly": true + }, + "position": { + "x": 1216, + "y": 1208 + }, + "size": { + "width": 152, + "height": 40 + } + }, + { + "id": "eefa456a-5c46-4a3e-8bdf-c893757d6b8d", + "type": "basic.info", + "data": { + "info": "# Bus de entrada al procesador\n", + "readonly": true + }, + "position": { + "x": 168, + "y": 848 + }, + "size": { + "width": 488, + "height": 40 + } + }, + { + "id": "6215ef9b-72b1-4ad1-891b-5ba17b67f0a8", + "type": "basic.info", + "data": { + "info": "# Puerto de entrada\n", + "readonly": true + }, + "position": { + "x": 160, + "y": 1200 + }, + "size": { + "width": 528, + "height": 40 + } + }, + { + "id": "da4e9668-f754-4901-b7c3-c5c04b199517", + "type": "basic.info", + "data": { + "info": "**FEMTORV**", + "readonly": true + }, + "position": { + "x": 1000, + "y": 624 + }, + "size": { + "width": 104, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "16714a79-d559-4c4f-907a-749a4505a0c7", + "size": 32 + }, + "target": { + "block": "b0e3a3aa-4581-4bf5-b5da-6fbff0c3b929", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "1285d18d-3916-4b8c-91f3-e80f717b91ad", + "size": 32 + }, + "target": { + "block": "b734b7da-f59b-4236-a4a2-320283d53606", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "491df60b-0fb1-4e7e-83b1-0b0225e1b117", + "size": 4 + }, + "target": { + "block": "57460e15-177d-4f49-9e92-f6bca4b76cf9", + "port": "inlabel" + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "eba5ab63-2f6f-41bb-8578-3c69b9255522", + "port": "outlabel" + }, + "target": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "31cf08ed-019e-4663-b443-ac95b8ea2f69", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "49ce2643-a730-4f8b-8659-dead5552812c", + "port": "outlabel" + }, + "target": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 888, + "y": 696 + } + ] + }, + { + "source": { + "block": "41705626-0707-409a-8299-5183fdae5498", + "port": "314ee589-5dc1-4846-bf48-26139fa23881", + "size": 32 + }, + "target": { + "block": "d8eff1b4-8e93-4740-a94b-9760437588f5", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "57a6a9c8-e5f1-4fae-bfb6-06a73db39748", + "port": "outlabel" + }, + "target": { + "block": "41705626-0707-409a-8299-5183fdae5498", + "port": "a7510180-8076-417f-85df-c28637f785c4", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "e3902352-07f5-4ce3-9dd7-5620e59ed6a2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "9db39563-639a-4c9c-8c0f-bbf531d60660", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "e3902352-07f5-4ce3-9dd7-5620e59ed6a2", + "port": "0238b62b-9081-41a4-9d00-dd0adb8ebc77" + }, + "target": { + "block": "b4aec069-1dd4-4b27-b8d2-bab43673cefc", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "e3902352-07f5-4ce3-9dd7-5620e59ed6a2", + "port": "a181ebec-909f-40b7-9a88-d4ed1b48018f" + }, + "target": { + "block": "597da5a0-2c39-434b-a37d-1b258d9444e4", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "10865200-353e-440c-8e2e-7e7e93fdb36a", + "port": "outlabel" + }, + "target": { + "block": "e3902352-07f5-4ce3-9dd7-5620e59ed6a2", + "port": "7c22780e-f97f-416a-b0b7-acad705d4845", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "ed770d1a-1459-4a9d-8f82-a6bcdfb70661", + "port": "outlabel" + }, + "target": { + "block": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "port": "0a393d92-f512-4078-ad64-c882c59e38d0", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "4eef741c-bc16-464d-86e5-d41f171d8608", + "port": "outlabel" + }, + "target": { + "block": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "port": "cff45cf5-b66d-4098-8a56-b5a08e502d42", + "size": 4 + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "959b436d-e96b-4b63-92df-96f3dacaa2fe", + "port": "outlabel" + }, + "target": { + "block": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "port": "4afdf624-05f1-40c9-8477-8b318920d206" + }, + "vertices": [] + }, + { + "source": { + "block": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "port": "07cfa75b-6e41-43bf-b499-a07adacded9f", + "size": 32 + }, + "target": { + "block": "02ccef5f-7bff-49d5-933b-b1141ba3a8fe", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "470e58f0-05c9-46a0-bfd1-b7c21bb93869", + "port": "outlabel" + }, + "target": { + "block": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "port": "aa0bb6ec-e62a-4571-a54f-0c38b3a3c046", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "14e1d2fe-0996-470f-9e74-5fcb31900295", + "port": "outlabel" + }, + "target": { + "block": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "port": "e52f263e-9174-412c-8b1d-ad27b30b206f", + "size": 8 + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "5ea21b4b-480b-4fe7-9b3f-5a314cdef89f", + "port": "outlabel" + }, + "target": { + "block": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "port": "95be2580-d783-48fb-a7ed-b4bd26e6fd71" + }, + "vertices": [ + { + "x": 296, + "y": 1056 + } + ] + }, + { + "source": { + "block": "b60f2b22-c7cc-440c-9c62-feec678d9f31", + "port": "outlabel" + }, + "target": { + "block": "90267f15-9360-4b03-bb00-afeb96bc8c59", + "port": "87ef7dd1-0656-4c50-ae31-ed78d7c00141" + }, + "vertices": [ + { + "x": 336, + "y": 1096 + } + ] + }, + { + "source": { + "block": "0ca86037-cf5a-4158-a34d-b2691da7705f", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "51c050ec-1f3d-4d72-ae3c-a878e5e35e4e", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "387f30bd-3a1f-400b-b766-c51ac57098bb", + "port": "81d475cc-5bee-43d0-8d5f-04e967295a79" + } + }, + { + "source": { + "block": "6be9ab70-c0cf-471b-809d-3d1d50b133ec", + "port": "outlabel" + }, + "target": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "11eba046-9189-4b70-b9ef-366f86b6d936", + "port": "outlabel" + }, + "target": { + "block": "41705626-0707-409a-8299-5183fdae5498", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "6924b404-bdba-4515-8a63-fff760247275", + "port": "outlabel" + }, + "target": { + "block": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "59095723-3090-4699-b192-76cc465ab5b1", + "port": "out", + "size": 8 + }, + "target": { + "block": "4e1c5227-1138-4bac-9bf6-b947777b055b", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3ea03de8-266f-4d03-b1fa-d5960ba150e0", + "port": "40974685-1c85-43eb-adbe-623bf9790096", + "size": 8 + }, + "target": { + "block": "5ac608b6-e67f-46e6-be10-2876672a0142", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "2f536449-898c-4aa7-afed-3b942f3cd5ed", + "port": "outlabel" + }, + "target": { + "block": "2feffd90-6219-4d31-965a-0edd29c0f780", + "port": "in", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "9520207b-dc4c-4afe-bacb-779edcca9d8e", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "2d2e37f1-a173-4236-8945-a5b04d18e55a" + }, + "vertices": [ + { + "x": 944, + "y": 856 + } + ] + }, + { + "source": { + "block": "9520207b-dc4c-4afe-bacb-779edcca9d8e", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "7b4dbf66-5445-4e07-8a85-d2a39503c588", + "port": "f5fe42ae-a5e4-422a-b0ea-f48ef08d7fc7" + }, + "vertices": [] + }, + { + "source": { + "block": "387f30bd-3a1f-400b-b766-c51ac57098bb", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "0ca86037-cf5a-4158-a34d-b2691da7705f", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "2744fe2b-63cf-486b-8463-d01833df3ff2", + "port": "memory-out" + }, + "target": { + "block": "41705626-0707-409a-8299-5183fdae5498", + "port": "8783fd57-b5ab-4d9f-9ac5-42695f31426f" + }, + "vertices": [] + } + ] + } + } + }, + "f1cffe0819474c7b4c36893b94dfd3817e260223": { + "package": { + "name": "RV32I", + "version": "0.1", + "description": "RV32I", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22345.278%22%20version=%221.2%22%20height=%22434.244%22%20viewBox=%220%200%20258.9582%20325.68269%22%3E%3Cdesc%3EFritzing%20breadboard%20generated%20by%20brd2svg%3C/desc%3E%3Cpath%20fill=%22#fff%22%20d=%22M0%200h258.958v263.069H0zm.472%20276.682h256.625v49H.472z%22/%3E%3Cpath%20d=%22M9.821%20300.72v-18.045l20.932.062c18.812.056%2021.039.095%2021.985.386a11.231%2011.231%200%200%201%204.433%202.544c2.48%202.272%203.632%204.827%203.632%208.049%200%203.183-.946%205.395-3.333%207.792-1.668%201.675-2.933%202.38-5.202%202.898l-1.49.34%204.876%206.765c2.681%203.721%204.942%206.876%205.024%207.01.117.194-.858.246-4.629.246h-4.777l-5.085-7.06-5.085-7.059H17.469v14.119H9.82z%22%20fill=%22#273272%22/%3E%3Cpath%20d=%22M51.479%20296.532c.959-.731%201.562-2.058%201.42-3.121-.124-.919-.598-1.626-1.506-2.243l-.648-.442H17.469v6.478l16.633-.052%2016.634-.053z%22%20fill=%22#fff%22/%3E%3Cpath%20d=%22M66.342%20300.776l.05-17.991h7.647l.05%2017.99.05%2017.992h-7.847zm13.482%2014.072v-3.918l20.441-.052%2020.442-.053.742-.46c1.997-1.242%202.01-4.329.022-5.468l-.764-.438-15.588-.006c-12.76-.006-15.83-.058-16.914-.287-4.99-1.058-8.716-6.043-8.33-11.145.347-4.577%203.545-8.483%208.086-9.875%201.11-.34%202.345-.36%2022.06-.36h20.883l.053%203.872.053%203.873-20.446.003-20.446.003-.784.439c-2.045%201.143-2.12%203.895-.143%205.25l.702.481%2015.897.117%2015.898.118%201.547.528c3.79%201.293%206.674%204.48%207.47%208.257.16.755.29%201.726.29%202.157%200%201.293-.54%203.45-1.2%204.792-.828%201.69-3.165%204.06-4.821%204.892-2.492%201.252-1.42%201.199-24.308%201.199H79.824zm69.512%203.694c-3.565-.658-6.614-2.325-9.419-5.15-3.48-3.505-5.036-7.355-5.042-12.47-.004-5.075%201.468-8.78%204.935-12.412%203.398-3.56%206.588-5.172%2011.144-5.63%201.38-.14%207.718-.192%2018.382-.154l16.275.059.054%203.873.053%203.872H168.4c-18.776%200-18.365-.021-20.539%201.08-.537.273-1.596%201.08-2.354%201.795-1.07%201.009-1.559%201.666-2.192%202.943-.773%201.56-.819%201.752-.902%203.778-.074%201.783-.02%202.359.326%203.499%201.094%203.602%204.526%206.586%208.164%207.097.812.115%208.203.195%2018.09.197l16.716.004v7.844l-17.697-.022c-9.863-.012-18.13-.102-18.677-.203z%22%20fill=%22#273272%22/%3E%3Cpath%20d=%22M223.297%20317.64c-.373-.621-4.67-7.967-9.55-16.325-4.881-8.358-9.302-15.925-9.825-16.814l-.95-1.618h8.947l8.092%2014.07c4.451%207.737%208.155%2014.135%208.231%2014.217.076.081%203.811-6.228%208.3-14.02l8.162-14.169%204.45-.053%204.45-.053-.285.445c-.157.245-4.856%208.32-10.442%2017.946l-10.157%2017.5h-8.746zm-32.882-16.815v-3.432h14.903v6.863h-14.903z%22%20fill=%22#f7b217%22/%3E%3Cpath%20d=%22M7.469%20143.765V39.26h29.848c18.795%200%2030.996.125%2032.948.337%2020.373%202.217%2034.616%2014.939%2038.204%2034.126.763%204.082.77%2011.197.011%2015.476-2.773%2015.68-12.918%2027.776-27.534%2032.83-1.796.621-4.812%201.454-6.701%201.852-3.364.707-3.935.724-27.448.825l-24.012.103v17.448l17.642%2020.857c9.703%2011.472%2029.876%2035.325%2044.828%2053.008l27.185%2032.149H7.468zm161.026%20104.107c.13-.22%201.628-2.194%203.328-4.387%201.7-2.194%2020.86-28.831%2042.579-59.194%2021.719-30.362%2039.615-55.34%2039.77-55.507.162-.175.281%2025.025.281%2059.592v59.895h-43.097c-34.392%200-43.05-.08-42.86-.399z%22%20fill=%22#273272%22/%3E%3Cpath%20d=%22M110.838%20190.462c-16.223-19.166-29.535-34.934-29.581-35.04-.046-.107%201.174-.386%202.712-.62%207.264-1.11%2014.686-3.49%2021.943-7.036%2025.749-12.58%2040.451-39.62%2038.727-71.224-1.137-20.818-9.472-39.848-23.203-52.975-9.769-9.34-21.598-15.268-35.865-17.975l-3.911-.743%2086.396-.026%2086.397-.026V67.82l-54.13%2075.786c-41.376%2057.927-54.773%2076.463-56.851%2078.658-1.496%201.58-2.814%202.911-2.928%202.958-.115.048-13.482-15.595-29.706-34.76z%22%20fill=%22#f7b217%22/%3E%3C/svg%3E", + "otid": 1636006595968 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -136, + "y": -312 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 16, + "y": -312 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 40, + "y": -192 + } + }, + { + "id": "1285d18d-3916-4b8c-91f3-e80f717b91ad", + "type": "basic.output", + "data": { + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1080, + "y": -176 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false, + "virtual": false + }, + "position": { + "x": -40, + "y": -72 + } + }, + { + "id": "16714a79-d559-4c4f-907a-749a4505a0c7", + "type": "basic.output", + "data": { + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1088, + "y": -32 + } + }, + { + "id": "31cf08ed-019e-4663-b443-ac95b8ea2f69", + "type": "basic.input", + "data": { + "name": "rdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": -40, + "y": 40 + } + }, + { + "id": "491df60b-0fb1-4e7e-83b1-0b0225e1b117", + "type": "basic.output", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1088, + "y": 112 + } + }, + { + "id": "f5fe42ae-a5e4-422a-b0ea-f48ef08d7fc7", + "type": "basic.input", + "data": { + "name": "rbusy", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": -32, + "y": 152 + } + }, + { + "id": "6999fc23-9edf-44a4-842b-3ac44d6409ad", + "type": "basic.output", + "data": { + "name": "rstrb", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false + }, + "position": { + "x": 1088, + "y": 256 + } + }, + { + "id": "2d2e37f1-a173-4236-8945-a5b04d18e55a", + "type": "basic.input", + "data": { + "name": "wbusy", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": -24, + "y": 272 + } + }, + { + "id": "215f26ad-d011-44ef-8434-30bb050113fd", + "type": "basic.code", + "data": { + "code": " localparam RESET_ADDR = 0;\n parameter ADDR_WIDTH = 24; \n\n localparam ADDR_PAD = {(32-ADDR_WIDTH){1'b0}}; // 32-bits padding for addrs\n\n /***************************************************************************/\n // Instruction decoding.\n /***************************************************************************/\n\n // Extracts rd,rs1,rs2,funct3,imm and opcode from instruction. \n // Reference: Table page 104 of:\n // https://content.riscv.org/wp-content/uploads/2017/05/riscv-spec-v2.2.pdf\n\n // The destination register\n wire [4:0] rdId = instr[11:7];\n\n // The ALU function, decoded in 1-hot form (doing so reduces LUT count)\n // It is used as follows: funct3Is[val] <=> funct3 == val\n (* onehot *)\n wire [7:0] funct3Is = 8'b00000001 << instr[14:12];\n\n // The five immediate formats, see RiscV reference (link above), Fig. 2.4 p. 12\n wire [31:0] Uimm = { instr[31], instr[30:12], {12{1'b0}}};\n wire [31:0] Iimm = {{21{instr[31]}}, instr[30:20]};\n /* verilator lint_off UNUSED */ // MSBs of SBJimms are not used by addr adder. \n wire [31:0] Simm = {{21{instr[31]}}, instr[30:25],instr[11:7]};\n wire [31:0] Bimm = {{20{instr[31]}}, instr[7],instr[30:25],instr[11:8],1'b0};\n wire [31:0] Jimm = {{12{instr[31]}}, instr[19:12],instr[20],instr[30:21],1'b0};\n /* verilator lint_on UNUSED */\n\n // Base RISC-V (RV32I) has only 10 different instructions !\n wire isLoad = (instr[6:2] == 5'b00000); // rd <- mem[rs1+Iimm]\n wire isALUimm = (instr[6:2] == 5'b00100); // rd <- rs1 OP Iimm\n wire isAUIPC = (instr[6:2] == 5'b00101); // rd <- PC + Uimm\n wire isStore = (instr[6:2] == 5'b01000); // mem[rs1+Simm] <- rs2\n wire isALUreg = (instr[6:2] == 5'b01100); // rd <- rs1 OP rs2\n wire isLUI = (instr[6:2] == 5'b01101); // rd <- Uimm\n wire isBranch = (instr[6:2] == 5'b11000); // if(rs1 OP rs2) PC<-PC+Bimm\n wire isJALR = (instr[6:2] == 5'b11001); // rd <- PC+4; PC<-rs1+Iimm\n wire isJAL = (instr[6:2] == 5'b11011); // rd <- PC+4; PC<-PC+Jimm\n wire isSYSTEM = (instr[6:2] == 5'b11100); // rd <- cycles\n\n wire isALU = isALUimm | isALUreg;\n\n /***************************************************************************/\n // The register file.\n /***************************************************************************/\n \n reg [31:0] rs1;\n reg [31:0] rs2;\n reg [31:0] registerFile [31:0];\n\n always @(posedge clk) begin\n if (writeBack)\n if (rdId != 0)\n registerFile[rdId] <= writeBackData;\n end\n\n /***************************************************************************/\n // The ALU. Does operations and tests combinatorially, except shifts.\n /***************************************************************************/\n\n // First ALU source, always rs1\n wire [31:0] aluIn1 = rs1;\n\n // Second ALU source, depends on opcode:\n // ALUreg, Branch: rs2\n // ALUimm, Load, JALR: Iimm\n wire [31:0] aluIn2 = isALUreg | isBranch ? rs2 : Iimm;\n\n reg [31:0] aluReg; // The internal register of the ALU, used by shift.\n reg [4:0] aluShamt; // Current shift amount.\n\n wire aluBusy = |aluShamt; // ALU is busy if shift amount is non-zero.\n wire aluWr; // ALU write strobe, starts shifting.\n\n // The adder is used by both arithmetic instructions and JALR.\n wire [31:0] aluPlus = aluIn1 + aluIn2;\n\n // Use a single 33 bits subtract to do subtraction and all comparisons\n // (trick borrowed from swapforth/J1)\n wire [32:0] aluMinus = {1'b1, ~aluIn2} + {1'b0,aluIn1} + 33'b1;\n wire LT = (aluIn1[31] ^ aluIn2[31]) ? aluIn1[31] : aluMinus[32];\n wire LTU = aluMinus[32];\n wire EQ = (aluMinus[31:0] == 0);\n\n // Notes:\n // - instr[30] is 1 for SUB and 0 for ADD\n // - for SUB, need to test also instr[5] to discriminate ADDI:\n // (1 for ADD/SUB, 0 for ADDI, and Iimm used by ADDI overlaps bit 30 !)\n // - instr[30] is 1 for SRA (do sign extension) and 0 for SRL\n \n wire [31:0] aluOut =\n (funct3Is[0] ? instr[30] & instr[5] ? aluMinus[31:0] : aluPlus : 32'b0) | \n (funct3Is[2] ? {31'b0, LT} : 32'b0) | \n (funct3Is[3] ? {31'b0, LTU} : 32'b0) | \n (funct3Is[4] ? aluIn1 ^ aluIn2 : 32'b0) | \n (funct3Is[6] ? aluIn1 | aluIn2 : 32'b0) | \n (funct3Is[7] ? aluIn1 & aluIn2 : 32'b0) | \n (funct3IsShift ? aluReg : 32'b0) ; \n\n wire funct3IsShift = funct3Is[1] | funct3Is[5];\n\n always @(posedge clk) begin\n if(aluWr) begin\n if (funct3IsShift) begin // SLL, SRA, SRL\n\t aluReg <= aluIn1; \n\t aluShamt <= aluIn2[4:0]; \n\t end \n end \n\n // Compact form of:\n // funct3=001 -> SLL (aluReg <= aluReg << 1) \n // funct3=101 & instr[30] -> SRA (aluReg <= {aluReg[31], aluReg[31:1]})\n // funct3=101 & !instr[30] -> SRL (aluReg <= {1'b0, aluReg[31:1]})\n\n if (|aluShamt) begin\n aluShamt <= aluShamt - 1;\n\t aluReg <= funct3Is[1] ? aluReg << 1 : // SLL\n\t\t {instr[30] & aluReg[31], aluReg[31:1]}; // SRA,SRL\n end\n end\n\n /***************************************************************************/\n // The predicate for conditional branches.\n /***************************************************************************/\n\n wire predicate =\n funct3Is[0] & EQ | // BEQ\n funct3Is[1] & !EQ | // BNE\n funct3Is[4] & LT | // BLT\n funct3Is[5] & !LT | // BGE\n funct3Is[6] & LTU | // BLTU\n funct3Is[7] & !LTU ; // BGEU\n\n /***************************************************************************/\n // Program counter and branch target computation.\n /***************************************************************************/\n\n reg [ADDR_WIDTH-1:0] PC; // The program counter.\n reg [31:2] instr; // Latched instruction. Note that bits 0 and 1 are\n // ignored (not used in RV32I base instr set).\n\n wire [ADDR_WIDTH-1:0] PCplus4 = PC + 4;\n\n // An adder used to compute branch address, JAL address and AUIPC.\n // branch->PC+Bimm AUIPC->PC+Uimm JAL->PC+Jimm\n // Equivalent to PCplusImm = PC + (isJAL ? Jimm : isAUIPC ? Uimm : Bimm)\n wire [ADDR_WIDTH-1:0] PCplusImm = PC + ( instr[3] ? Jimm[ADDR_WIDTH-1:0] : \n\t\t\t\t\t instr[4] ? Uimm[ADDR_WIDTH-1:0] : \n\t\t\t\t\t Bimm[ADDR_WIDTH-1:0] );\n\n // A separate adder to compute the destination of load/store.\n // testing instr[5] is equivalent to testing isStore in this context.\n wire [ADDR_WIDTH-1:0] loadstore_addr = rs1[ADDR_WIDTH-1:0] + \n\t\t (instr[5] ? Simm[ADDR_WIDTH-1:0] : Iimm[ADDR_WIDTH-1:0]);\n\n assign mem_addr = {ADDR_PAD, \n\t\t state[WAIT_INSTR_bit] | state[FETCH_INSTR_bit] ? \n\t\t PC : loadstore_addr\n\t\t };\n\n /***************************************************************************/\n // The value written back to the register file.\n /***************************************************************************/\n\n wire [31:0] writeBackData =\n /* verilator lint_off WIDTH */\t \t \n (isSYSTEM ? cycles : 32'b0) | // SYSTEM\n /* verilator lint_on WIDTH */\t \t \t \n (isLUI ? Uimm : 32'b0) | // LUI\n (isALU ? aluOut : 32'b0) | // ALUreg, ALUimm\n (isAUIPC ? {ADDR_PAD,PCplusImm} : 32'b0) | // AUIPC\n (isJALR | isJAL ? {ADDR_PAD,PCplus4 } : 32'b0) | // JAL, JALR\n (isLoad ? LOAD_data : 32'b0); // Load\n\n /***************************************************************************/\n // LOAD/STORE\n /***************************************************************************/\n\n // All memory accesses are aligned on 32 bits boundary. For this\n // reason, we need some circuitry that does unaligned halfword\n // and byte load/store, based on:\n // - funct3[1:0]: 00->byte 01->halfword 10->word\n // - mem_addr[1:0]: indicates which byte/halfword is accessed\n\n wire mem_byteAccess = instr[13:12] == 2'b00; // funct3[1:0] == 2'b00;\n wire mem_halfwordAccess = instr[13:12] == 2'b01; // funct3[1:0] == 2'b01;\n\n // LOAD, in addition to funct3[1:0], LOAD depends on:\n // - funct3[2] (instr[14]): 0->do sign expansion 1->no sign expansion\n\n wire LOAD_sign = \n\t!instr[14] & (mem_byteAccess ? LOAD_byte[7] : LOAD_halfword[15]);\n\n wire [31:0] LOAD_data =\n mem_byteAccess ? {{24{LOAD_sign}}, LOAD_byte} :\n mem_halfwordAccess ? {{16{LOAD_sign}}, LOAD_halfword} :\n mem_rdata ;\n\n wire [15:0] LOAD_halfword = \n\t loadstore_addr[1] ? mem_rdata[31:16] : mem_rdata[15:0];\n \n wire [7:0] LOAD_byte = \n\t loadstore_addr[0] ? LOAD_halfword[15:8] : LOAD_halfword[7:0];\n\n // STORE\n\n assign mem_wdata[ 7: 0] = rs2[7:0];\n assign mem_wdata[15: 8] = loadstore_addr[0] ? rs2[7:0] : rs2[15: 8];\n assign mem_wdata[23:16] = loadstore_addr[1] ? rs2[7:0] : rs2[23:16];\n assign mem_wdata[31:24] = loadstore_addr[0] ? rs2[7:0] : \n\t\t\t loadstore_addr[1] ? rs2[15:8] : rs2[31:24];\n\n // The memory write mask:\n // 1111 if writing a word\n // 0011 or 1100 if writing a halfword \n // (depending on loadstore_addr[1])\n // 0001, 0010, 0100 or 1000 if writing a byte \n // (depending on loadstore_addr[1:0])\n\n wire [3:0] STORE_wmask =\n\t mem_byteAccess ? \n\t (loadstore_addr[1] ? \n\t\t (loadstore_addr[0] ? 4'b1000 : 4'b0100) :\n\t\t (loadstore_addr[0] ? 4'b0010 : 4'b0001) \n ) :\n\t mem_halfwordAccess ? \n\t (loadstore_addr[1] ? 4'b1100 : 4'b0011) :\n 4'b1111;\n\n /*************************************************************************/\n // And, last but not least, the state machine.\n /*************************************************************************/\n\n localparam FETCH_INSTR_bit = 0;\n localparam WAIT_INSTR_bit = 1;\n localparam EXECUTE_bit = 2;\n localparam WAIT_ALU_OR_MEM_bit = 3;\n localparam NB_STATES = 4;\n\n localparam FETCH_INSTR = 1 << FETCH_INSTR_bit;\n localparam WAIT_INSTR = 1 << WAIT_INSTR_bit;\n localparam EXECUTE = 1 << EXECUTE_bit;\n localparam WAIT_ALU_OR_MEM = 1 << WAIT_ALU_OR_MEM_bit;\n \n (* onehot *)\n reg [NB_STATES-1:0] state;\n\n // The signals (internal and external) that are determined\n // combinatorially from state and other signals.\n\n // register write-back enable.\n wire writeBack = ~(isBranch | isStore ) & \n\t (state[EXECUTE_bit] | state[WAIT_ALU_OR_MEM_bit]);\n\n // The memory-read signal.\n assign mem_rstrb = state[EXECUTE_bit] & isLoad | state[FETCH_INSTR_bit];\n\n // The mask for memory-write.\n assign mem_wmask = {4{state[EXECUTE_bit] & isStore}} & STORE_wmask;\n\n // aluWr starts computation (shifts) in the ALU.\n assign aluWr = state[EXECUTE_bit] & isALU;\n\n wire jumpToPCplusImm = isJAL | (isBranch & predicate);\n`ifdef NRV_IS_IO_ADDR \n wire needToWait = isLoad | \n\t\t isStore & `NRV_IS_IO_ADDR(mem_addr) | \n\t\t isALU & funct3IsShift;\n`else\n wire needToWait = isLoad | isStore | isALU & funct3IsShift; \n`endif\n \n always @(posedge clk) begin\n if(!reset) begin\n state <= WAIT_ALU_OR_MEM; // Just waiting for !mem_wbusy\n PC <= RESET_ADDR[ADDR_WIDTH-1:0];\n end else\n\n // See note [1] at the end of this file.\n (* parallel_case *)\n case(1'b1)\n\n state[WAIT_INSTR_bit]: begin\n if(!mem_rbusy) begin // may be high when executing from SPI flash\n rs1 <= registerFile[mem_rdata[19:15]];\n rs2 <= registerFile[mem_rdata[24:20]];\n instr <= mem_rdata[31:2]; // Bits 0 and 1 are ignored (see\n state <= EXECUTE; // also the declaration of instr).\n end\n end\n\n state[EXECUTE_bit]: begin\n PC <= isJALR ? {aluPlus[ADDR_WIDTH-1:1],1'b0} :\n jumpToPCplusImm ? PCplusImm :\n PCplus4;\n\t state <= needToWait ? WAIT_ALU_OR_MEM : FETCH_INSTR;\n end\n\n state[WAIT_ALU_OR_MEM_bit]: begin\n if(!aluBusy & !mem_rbusy & !mem_wbusy) state <= FETCH_INSTR;\n end\n\n default: begin // FETCH_INSTR\n state <= WAIT_INSTR;\n end\n\t\n endcase\n end\n\n /***************************************************************************/\n // Cycle counter\n /***************************************************************************/\n\n`ifdef NRV_COUNTER_WIDTH\n reg [`NRV_COUNTER_WIDTH-1:0] cycles; \n`else \n reg [31:0] cycles;\n`endif \n always @(posedge clk) cycles <= cycles + 1;\n\n`ifdef BENCH\n initial begin\n cycles = 0;\n aluShamt = 0;\n registerFile[0] = 0;\n end\n`endif\n\n\n/*****************************************************************************/\n// Notes:\n//\n// [1] About the \"reverse case\" statement, also used in Claire Wolf's picorv32:\n// It is just a cleaner way of writing a series of cascaded if() statements,\n// To understand it, think about the case statement *in general* as follows:\n// case (expr)\n// val_1: statement_1\n// val_2: statement_2\n// ... val_n: statement_n\n// endcase\n// The first statement_i such that expr == val_i is executed. \n// Now if expr is 1'b1:\n// case (1'b1)\n// cond_1: statement_1\n// cond_2: statement_2\n// ... cond_n: statement_n\n// endcase\n// It is *exactly the same thing*, the first statement_i such that\n// expr == cond_i is executed (that is, such that 1'b1 == cond_i,\n// in other words, such that cond_i is true)\n// More on this: \n// https://stackoverflow.com/questions/15418636/case-statement-in-verilog\n//\n// [2] state uses 1-hot encoding (at any time, state has only one bit set to 1).\n// It uses a larger number of bits (one bit per state), but often results in\n// a both more compact (fewer LUTs) and faster state machine.\n\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "reset" + }, + { + "name": "mem_rdata", + "range": "[31:0]", + "size": 32 + }, + { + "name": "mem_rbusy" + }, + { + "name": "mem_wbusy" + } + ], + "out": [ + { + "name": "mem_addr", + "range": "[31:0]", + "size": 32 + }, + { + "name": "mem_wdata", + "range": "[31:0]", + "size": 32 + }, + { + "name": "mem_wmask", + "range": "[3:0]", + "size": 4 + }, + { + "name": "mem_rstrb" + } + ] + } + }, + "position": { + "x": 208, + "y": -216 + }, + "size": { + "width": 720, + "height": 576 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "clk" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "reset" + } + }, + { + "source": { + "block": "31cf08ed-019e-4663-b443-ac95b8ea2f69", + "port": "out" + }, + "target": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_rdata" + }, + "size": 32 + }, + { + "source": { + "block": "f5fe42ae-a5e4-422a-b0ea-f48ef08d7fc7", + "port": "out" + }, + "target": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_rbusy" + } + }, + { + "source": { + "block": "2d2e37f1-a173-4236-8945-a5b04d18e55a", + "port": "out" + }, + "target": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_wbusy" + } + }, + { + "source": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_addr" + }, + "target": { + "block": "1285d18d-3916-4b8c-91f3-e80f717b91ad", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_wdata" + }, + "target": { + "block": "16714a79-d559-4c4f-907a-749a4505a0c7", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_wmask" + }, + "target": { + "block": "491df60b-0fb1-4e7e-83b1-0b0225e1b117", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "215f26ad-d011-44ef-8434-30bb050113fd", + "port": "mem_rstrb" + }, + "target": { + "block": "6999fc23-9edf-44a4-842b-3ac44d6409ad", + "port": "in" + } + } + ] + } + } + }, + "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640": { + "package": { + "name": "bit-0", + "version": "0.2", + "description": "Constant bit 0", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22125.776%22%20height=%22197.727%22%20viewBox=%220%200%20110.54641%20173.78236%22%3E%3Cpath%20d=%22M69.664%20107.353l13.494%2029.374L70.719%20168.5l13.788%204.283m-42.761-62.916S38.148%20136.825%2033.22%20139C28.298%20141.18%201%20161.403%201%20161.403l8.729%2010.636%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20style=%22line-height:0%25%22%3E%3Cpath%20d=%22M65.536%2024.562q-9.493%200-15.876%208.251-6.303%208.156-8.855%2023.604-2.553%2015.448%201.037%2023.7%203.59%208.155%2013.084%208.155%209.334%200%2015.636-8.155%206.383-8.252%208.936-23.7%202.553-15.448-1.037-23.604-3.59-8.251-12.925-8.251zm4.07-24.564q23.056%200%2033.507%2014.969%2010.53%2014.968%206.143%2041.45-4.388%2026.482-19.865%2041.45-15.478%2014.968-38.534%2014.968-23.136%200-33.667-14.968Q6.659%2082.9%2011.047%2056.417q4.387-26.482%2019.865-41.45Q46.469-.002%2069.605-.002z%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold%20Italic'%22%20font-style=%22italic%22%20font-weight=%22700%22%20font-size=%22179.184%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-0\nassign q = 1'b0;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "893ac6e614aaf0a2b555d48ea4cdd7ada4e66cfd": { + "package": { + "name": "Generic-comp-clk", + "version": "0.1", + "description": "Generic component with clk input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%2087.168922%2087.168932%22%20height=%22329.457%22%20width=%22329.457%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-159.832%20-119.548)%22%3E%3Cimage%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAgM0lEQVR4Xu1dCZBU1bm+PdPTM8CM%20AgMO+yCrAq4oGjWKIIorcYnRlD59saImGlOaqK/UPPeHu5VyjyYuMRu+uFVEjRqX4FNRQURwQVxQ%20YdiGZQYGepZ+33frfK9Oneq+t+/0zHTad/+qU7fv7bt0n/8///L9/znX+1ZTTDHFFFNMMcUUU0wx%20xRRTTDHFFFNMMcUUU0wxxZToofuX8XPo82LqcLaZUhUAMbucraKi4viysrI9jCBkp5gybKBH0un0%20cuy3URC6WwiSXvcRmV9ZVVV1azKZPBvN69+/vzd06FBv2LBh1mkxff31197ixYvJfa+1tfUnHR0d%20x7W1tc3HV2kJQakJQBmZjzYUo99n/qRJk7xTTz3V69WrV5bTY/rmm2+8u+++29u6desO2L0cAnCq%20YXy61AQgYe5bBebvB9XvJRIJ79hjj/VSqZTX3t6e5ZKYBg0a5B133HHenDlzPPRZLQ5VG+a3lpwJ%20kPoH83uT+Ww77rhjCPNjYh+Z/hqK3T5oW9C2obWXlAaQAMCm9SovL/cGDx7sQaWFXBbTTjvt5AsA%20+qwOu7SVSTnOpSgASdBkSjTtPhybkMtioomkyWTjLvmjSK0UncAkJLmMAgDKUwBiqqur81atWkUt%200Bcmc2XJaQCBPtqCvMrKyjztf0wIm9lp1AbjW1paPrIwlUzJaAAJAKgajbYtTwGIaeDAgd6KFSv8%20zmMfloIJcCFf3rOCDT7AKAgAAY78nMCYqC3lB/Q1/Zgy4WB7AERcVAFIiPE333zz6JEjR565ffv2%20Xlu2bKm58847d2psbKQAhGiAmOQr1dTU+J8PPPDAE37wgx8MhgO9BeZg++bNm18588wzXzYQcXtX%20CUGii5ifuuyyy8YD7Fk4YMAAOTHelVde6X300UfejBkzPAhGwG1i5ksA2HdPPfWUd/LJJ7NRczKM%209iOp55577oyzzjrrvwkOGUHIFFsDJIya6nPQQQfdSweGKoyNAgBNIIcmRxQQM15ELcl9oKdU/4yh%202W/sR/anvz98+PD/wqnPozUZc9BeFA3gMv/GG288CgLwaL9+/by+fft6q1ev9gXgqKOO8v/MEUcc%204UOdJUxiUgSmRhv59va3v/2tnztBv9J0+k40Bxe16fLly2effvrpt1EIlCfobg2Q0FYq34J7q6ZN%20mzZ8v/32u5nSKonllhKrPACOyQcofQEonPlZma7GZ6jPFD5v27bN69Onj78/evTon/34xz9+/v77%20719s+NFup5OjOoqJKA7ezjvvPAuM7QuGJkBlyFtXgNkzMbrHUXUx3Utmf/XVVz7zTzrpJL9TTjnl%20FK+EieYrAuO1H8r8nBrgb3/7m1dbW+vNnj3bfzY165AhQ7zPPvvM9wlWrly5DFnD5yAUaQhMB4Qk%20g/My0LxPn3POOQuiOIqJPCDd1IMPPnjiiBEjHiFzObK15Y9Zs2aNn+Ovrq5mKtP74IMPlPjxfvGL%20X3ggCkKpC0AhjNdxfbZb1u9ffPFFb8OGDd4dd9zB+gBv/fr13pgxYzw62OzzTz75hKaWfc5+5jFt%20aX5/hrT7gzQPchQ7awLKZOPB4Nly7CQAbBzlUv38/P7773vQEs0vv/zyckhpNTpkNK6X+i911a/P%20UUe8y2jdI6tgiMj4t956axGFb88996wD0wfRDJDp7G/wQ+l18kJbaoo7jjzyyL8/++yzX8tR7JQG%20EPPRasHQT/FwPkBCwCaJ8zXAhx9+6Esp4lVJqXfDDTf42uDggw/uSVsscjtd+1Gu1/lsETRAkLoP%201whLlizx2+9//3uNbm/8+PHe22+/7e2yyy5ECmluXQ3A5kdeTz/99OlwIOfiVs0mZMwUpAEA6pDx%20fKgEQM6ez4w33niDQuDbLVJzczO/U1hDFdqVjC/cMYt+vYRAx8JUvCtA+Qinew/aeoZ+ZDAjAZ/p%20L730EiMEAkbsY35HXnDLfqdJZn/3poOO1kIB6KwJSAjWBZOXbtq0acK6det4c7v5Dx83bhwZQcEg%20w33mAwFkR7goYE8LghgSpgGiMknfBal7Nff5bIHnsx+5hZPN/vQZrKTaPvvsw/IxagGZYTX1/Qo4%207B8Y/y1RSBiokKIDCN9//upXvzoRUjgMzKxgBMBIgIQQpQwJjElQRymZBgoAnRj9GVzTE/Y5aDQV%20cr39XRRV79p6CQKZlfV7HaPZlPYk0zm6JQDIEHKkL2tqatrcAWIEwMvwfevatWu/efTRR1+wI4BC%20BYA32o625dprr32R/gBab3NdObzSGoAWp+AHp+QfSOVLimWnihSjizGFXu9+7zLVfYaOaWt/lkl0%20Ge+eRy0qbIX7cvoIq484/vjjH4BGbrJCvq1oG9BWo20zqj/U9iZC1H81mY5q1csQ6x+KeHSkHQbS%204VMRA4/RLr3zzjsefYbXXnuNjTbMb98KClf37ne5jkkLZDUX7Nd//vOf3ne/+13vkEMO8Xr37s1I%20gJqAZsHXrtAATB3bTiCPrYXf8NpvfvObG8GHz4UWFuIElkGl/BwO3r+7IaCwfkqlnEH+6LFjx3Kf%20zJc0f9vyAO7IzmfUZ8MW3OO6BweStGd6t912S5Hpb775pjd58mSli8lw5Q3kBBIbGIhrT0QSbgTM%209jGqJ+iMACivX4VM1BnCAOwmW88fy+8XLFjAH9R49NFHPwNPNA3I8mgcHwTp/bYIQC47HmYqcp7j%20OpiuxnjssceW3X777f/D/YcffvhwhIH1+++/vwYd+10aQELBRuRw3/PPP38s0vGLFQayRRWASrQ+%20YF6N7BCbGwYyTUnmm+rf/n/+859P5+df//rX3scff+ypIORbQLkAnSAH0T2WCxDKeg5yLBP/9Kc/%20TbSdwHnz5tEcKMyWj2BjATQhHIzjcJtPjA/XHlUDCAPoDa9T6kXhhv9gMXbhwoW0RYxTqf4JBUtA%205PCUPBLo2m2X+focgQLxAWL+ep4YTJyFnxsaGuhnMSlE6F0mVlv6ATzOaKHNDOLyzkQBSgKVY87a%20vXBEzqVU8eZ8iJhKdJB2CRgBpZPHZaPowRbTB3DVaqHXh4V67oiOKgTaJ+MY40sA5PlL8xJsI/PT%20mE+YIlDEczTYYG7pN9BJnHfvvfd+lk9NYTIkBGy9/PLLn5syZcoqPHRnaIIqU6yYAGMpWeWoA9h1%203333HQfG0ymk6uEPlQAwj01hKVUBEEPz9eZzYQt5h6LLli0jw3k+4XRXALjPnMt6wL3zySOc126u%207QBrtmOQrnnmmWfeVh1hZ5NBHWS+iS03zp8//2O0tSY3UG5a6phjjhkPp2Sc4n/lsCWRaMUe/WJM%20IdcGaQD+vyBgxx7pYc/loGHmz36WgCBbAJgXGAwsoOamm26aJ0ab1kJ+oTWibdF3hQhAC8KQjtNO%20O+0QPPBgSFh5whCksgwmYJjtINIUfPnll2SARkexBUCd35UCQIa710SCjd3vlTHl6Hc1Co9xS5yf%20dl8a4fDDD5+2xx57jAAPVA/gI7eoKVz4/PPPz4EWkAB0RBWAhGl8SM0FF1xwPx4yXo6dtgR7Nm7c%20qOofZgVZrsSctf8ZxOOlYv+DGGcLM7dhYV02LRAaKXz66adZsQH6A6NGjfK++OILf4ChLoMCwHQx%20ne8x6G95/0oaTdh1112PQ6Rw8vXXX/9GZ6FgqviKH/7wh6cB5h2fDQPgD6Dal+3HH6D6WoLpzSuQ%20H6hFR00pCQEITwa5zHcdvygYQFYBBYbPweQy33/ek08+OR9+1DqM9tqJEyfuB6bT0dM6C9II8hNk%20LmrgnJ+Nr98xvGyPggMIBOoNaZqZCwOgACgWfe+99wgHUyv0wxz3fvBOK1naDCqeAES3wdkydmJ6%20ULVPlJSve4whm7z+rNfuvffew1BnMYz9iMGYBhiUAj7AwWfnB1xAiP1eTx4aHKA1qgmoQOuFZMM2%20Le0iVS8hkF2aO3euX6Sw++678/gQNP04tmJhAO4oDTtH4Wouu5+rHiDoWNjz+Tyqdqn+XGsGDGEx%20CJnKfqYJIBhUX19PbMBGAwXPU5swZE8aAWg2g7o9igDwghTU+st4yIEo8qR614PUuNYPcQB2gh0B%20KEnEzz2NAopRQUwPvc62+7YwhJHLePd+7rmI5VnxG6hdpGnJZEHAsPF+4ccLL7ygzKsGp2oFeXyp%20GchlnU0Hd1xzzTXzZs2add306dMPwg1T6AS/yIC1AHhgAn+gDAKyN+yRoGEJQE+HgTbTIwhA4LlK%20bbueea578ry8439oVwI2YfWECv2k1qVdOcqX4bNqAjIQlAySRh1pELTywoceeuhFjfqoAkCOtZmc%208mbY8vfQvsF+jSTKXFcJ3P80FSdasCVbT6OAfE6AAETzB8TMELJHdyR4mBEUwrW8tAqFRFgAeKuq%20HxZ/1iE8nwsHkuq2VcCdUfmN5J3hYVvUbGDGXNTCm8ABqcVExYGQsio0nl9ObYBc9QGIS4fpB0kA%20ILHqCDGm2GrfPTdSvt9toKAQMBQSpsqX05cHUdXbA0ueP0PAHe67776TkSz6K/q8FfdrY8PnXsBi%20Nr/yyiubyMNOFYWqnBiFIMfAAbnBjQA0H4CMFvYPtUMcm99zCpPjHUemqPa7K+bm2aPfbi4zXSaH%20ZvtssAfMsZy+cGKU8Prrr8u790w6WFp28HnnnXc+czJ2JMDt2Wef/QjC+EsiQcH2TKCf/vSnoydM%20mEDm55wPIPWPknCqpmY4i58atTUGm2qZgH/xtK6Y7gI/dtMxCbUNCGk/0PaT6eifKMzX/IA0/IWl%20uI4O9xhA8tUHHHAAGc0+Z3OxAG4ZIfzb7373u0U/+tGPHrDKwzKhGkBz/hBrni6VLqbbn/VgxPy0%20aXT81iFV2c7vlL9mKxIFedZBJVuuANgYiC0IWa9xBcl2hOnxa7Z0BGJ+IAVktZ1C9eqrr34BQGgS%20J+DstddedmGIRr6azAUHYq+gxFAyFwYAhlbxB8vDd6Fgph5ZnkxnBhlBerUjEaeOxHcMGYVs9SST%20XTXunhNUjp1LACT4OuYyOFeuwN5nH3WK+boe4NpkMpU8QG6GU8c4SYSwO7Ot2YpCOCiJMFYRC5Av%20EMUEpABQLCHowHBF8/5tIu6PQlECEtQGFBTNExDzu0MAwpgpFet+F8z4cAFwr8l79EMrkhkF9YVU%20vJjNmgCG2iy+Yf/zmO1n0G8gwTfYbkVuiUg4wK233roIocYcqJyD8cBBkmp1Do41IzlBhldbtqi7%20BUCMCMvURREAXeMCP3axRdSmkS9MpCBBp++AbKwPCilFvMMOO6QBCDUCgh+kCEihMPiyEY7466gL%20fKJTOIBSwZjd+zi2LErsh1apKiFeN3PmzJFXXXXVLI4ONcs77Q4BiFKCnU0AwkZ8wPGiMF8CYDt6%203FcVdurxxx9fftttt82x5gZ0GOx/o5kfsDXIASQlA0CgJrQNmIo0GGqmL6SrEq2cNQE1oDPOOMNO%20FPFHUvq6QwDEvDDmh2mIfBnsRgNhQuPmDKj2HeYXLADC/O0ld7iG0IHAFRrhiK8jBsCqIPAijRxN%20K2oCGslDGwyKBAQh3kxdcskls4Et7207f3LyiEopSmBCg3XrBDlce1kA5a3m3a0oDxtv2+9sQpS3%20AMj/IMgjh68ryHj/nC1MH4BRAZNzFAiqetZsHMucjJw/YQFQ/w1wFn+C6myiuB1RcAC2sl/+8pdX%20wM67zBfmLxDIF4SlS5d6tEfwTL8CQOSvC1CQAIQzsKsFINu9o5gHxfnsj67WfGT6avCigWX3eMZw%20ONx08MgHNTcEZBsEMz0bAjA1ihOoKWG9MPIPs3EAu5FUC0AQCCaCKGB/ABX9oY5YKl6IBsjmXefj%202UcVAG2D7mufn2ufI94N9bpSANi/dejbOo5sMp9l44DoyQOZBxcHUCZ2l1tuuWVfDOZ5uXyBZI4J%20IdX4MzVcm8bWAPqsCSFaFwDlR5ocomyVmNYVDl4+4E22e0URAp0fKgDudWQ6R77sc3cQw0j5W0Bn%20WSPgm1xUCPG4iwOo0VQTla0jT+ULBGoAe0oY2lrUAwxEuKFRL/LVHNEoAD+Cg9kEQ0b3AcLj8rDz%20omiTIEdOlJXZrhDQBrP+UdhDdxHnY7hRAMwBcQD6AxRCt8BUi3RsRV3gEmEBkSaGYC7aLUgo/BwP%20GOJIvyYhNIL5/dHo/EkACBw5AhCZ8Z3VBtrm60i69wtkvnsNRxdr+XR+dxL7VQIgGB7amRnBZvgC%201RRABwsgGLQBcwcell8XFQdIo7hzKdq12B9gagHKhQPAIemDdQG+B+9TDiG3MhH5hoFBuHoU2DYb%20sztjXkKZr+JQ+DkclT2V6+AAk523cQGO8hRqAh7FHMxG4QDWWgHr0b4JWysgmQsEQtuMdOIQePf7%20EHTgqiBs6IAylCmPB0pYazkg/DFkJrdibHeM+nyAHzdTx2ORwkqX6fpMJI7MVxlXTxEdTJob8IH4%20ggSAWjh1xRVXHIEw/CPwhrzrIBaAz61AY1fAAfyQwhBFADISgj/+8Y8XA+s/xnUCyWyqPjJY8wEB%20OvDHsMlOhavjcMZHdwjdz+Fz/NTC7D4FW/aex3o6s0lnjn3PWgtlArlPSHggVmEbyLDQxQJQyLMP%20VhI5KbQs3MUALr300t3g3dvMd2sB1DhT1fcHnnjiiQWQ0jTO3x+d3j+LKg5KowYlVKLCv0EZw7yF%20T0zWdGsCMPq+GHTPPffM5fb73//+RDjg9ZivybUZqA1yYgHEcTBJ9ORzzz33/rxwAM35w41HK8HD%20G7lND+V8AGqBzz//fC1CkmGQ0ibkvSukItGij/rw40HMLhROVpO3rVCqaIyXFoPJHQbTm0IWdhPg%20efa9qoPCagJ2dsJABwfI8ro3jOKBzOgx3Wvj/ZrpQ3XDV50yHJw6dSqxgPEqB4MmYDawMyO5s0IQ%20ZRHH0BBTWkjhF4RZPkVRi1sOPfTQ3ckPMpYTQ7B4J00vcQGtHqowXIJAU81ooNKE9Vt4q7xwAEw8%20+AATPbZiejKLCeT9qnFU0A7xIaoF4GeZi6Awy7afUZgdBtkGCUC+foTqGch0OnwUcLu6qWhCoNBP%20CSEyW/X/1AQ0BfJXxCsKAWdrQSNHwgEybFiteiUeeAMqUWaiKGQ4vX9QQkzla0wgWYPhgFQ7qWA6%20ShKAqCM/2A8IX6SB2yjMd59HQIUjho0CYINaxV6rmGigFoWy1wxMAxD6ElP4iAVk0Dxu2TBI1/7l%20L395CeH6gnzTwYoA0lQXUOXvo63Bfl+0XlYtQAXeZTPhoosuGuv4BBQE4eE87uL4kRkOCmJa2HSv%20sHtJtUtdkukBL7cqriBQAKQBlIvh4pwo/96ACTxPaW6AtbbjJlMTsIX7eU0N08WaXIClxsbAw+/P%20eQAqBMGDdwBCON2pVVdIqCoabiM4gpF9gfxW6Q5v9O7p5LnVy0UUgvAZQiA5fXwryxRogvVIEK1A%20n/tCQBygEoTFpj90agI68jEB7Xg7Vd0JJ5zwACRsnJsIUtZLAoC4lKEgc9U2ChhVAKIJSDj8m7NA%20k8KpEYXUNUd9rmtzrv1XDGLCiQkg+l8sxhUKC4eb8f6ReCmHu3I4X9e/CotLn4Pyvoa8cAA5gXjB%20wy1wLGzm20KglarZiQwBGW9+CWdjPYSuBh01Vh1fIMAThtVHAZzYbHVPD1/OlZzbbHP8bOZrvxg+%20AAdeM3iyDJFANXCJscy5wPYrJUy+SPvas4UH431N90AAJltQfntWAVA1MFofPGhKrsUh7algzPtD%20/SzCJJJXqV4wVWzEd77znbH6IQWp//Da/chr95PJqmZyp3y7QuvOFnaFoYeJzvVmgEHzuHPxxRd/%20hWLdaQr/0Oz1hBQNCJUdCrh4+nXXXTfXrgkIEoBqwp4QgqwLQ1DV8yFcCpZFCQgVazET9Xv4LgMk%20sBfeciFp7MwI7xTcq3131GqSijx6mC4KrJa2t+cuqtPsY0FzAXtaG/BNLLVYtncWGcx8DON/ml7k%20ajgoyRdXA3BL/4ZRjd4fIF8gpwbgfhVuuBWLE/XOUgsgTJzM5yLQFJRhPMbzaFMxdYmd1LWjPvwe%209jO1r3WMKAAaHa59dxNHbsyv79mKxXz5LJXo8xGaH4CXRpCxHIAE5qjVstUE8Dues0FYQHhJGAiv%20JLsGb5+6QLUAjj0ns1dASEY48wFUGCFJ7DTkCyoogqB9h40UiKM5dq6nL0Zrm+14zn21HiIKr6IA%20qXyVizUiUqsGNpOyBJW8oNBsxNT+R4DrNJAVYUigpoVvxyLFS9CuNrUAVB/l9lvEEF6cxMWKskwI%204YMVBvYYw2Xfqe7gILkjPWCaeiiSmAvBLEZGUAJAYVY2ln1eceKJJz4EgW9WqGdai3l/wEpNDQsL%20AwUC8UbrjLrYrhdEmJaCw3cApowPcWsEhQgqZrXCr+4UADKbsTyl3bHdoat/BWkDl8HFYr77P2TK%20JBCMBGrw3uY9Acw9SX4JEDL2fqPh5WZ+l48AqBhkE5aInQoseRJHvVkaphw2pwKJiMOUJVRySEUg%202kYEgqKkaW01z0anrpA3g7jqPSgMLHpGEIUfeo2sPUOIOMAUvGOwCcc2kfk4Rl62oXhlKV44vQrR%202tZcq4Ym3VoAFBFOwtJkD+MhQ7NNCGGihD+C+4sWLeL6gEIBJZlkfr44QKTsIB0dRBruaC90RnGg%20utfxYhMZzsUl+P/Zz8Bd6IgLDOLqYdOdCSKcScwsYhOqt/kSiTeDfICEVDyygJfCcxwaMCGEW0UD%20tEdLYHe5SHEaAjIOD+4vAegEw9193p/PItMpYFGY4nr8BQhKUUlCSTRwSRrEUjD0yVhMQUuB6WET%20RGqwoATfJLqXfARbEyQdDKAGN58hECjXhBCqXYJACBNXQgtsxLYCmmADEMGMfACdHzG8U0WrMnMc%206RGYEk0Q1LElQBR+mgCfeeiTFryq7wvwYRyqg0MniOD8oVjuZwb8t7mWEGSyCUA1VTwQJt4w66IQ%20HJGcq8b1a/EjhoDpcgg9ZKb4lhBemy+Eq9WveF8y3Y1nu2OmTcm+sOKwww7bDXMx9FoYfwvgjXwg%20b7IWhbA/oZ2prbOCQUn3RdFgwlLYmgmK69mEoWs04v0A3OcKFQR/bCGRD+AyWfdQEzKXJ4Nicl8p%20z4IQ8gUmm1PFaCa5tXkgJJSTR7ZeffXVVmFIwLwAzCO7Ce+dPQ8MrYOzVwd/oNJ6nVkzsn9fwhEZ%20i5GfctYG5CjW4sddPBpjIrOhne03hckx5kBahtC8Foxm6l4oaBpRwGpo8yYsJ/eHrNlAeYWy/2gD%200UagDTUgUDVa0i4GeeCBB46DdzkKgkEUitlAaQBWrvpATPdQTIR/8WZwaV/2O/uf6eF1KP8mk1sd%20R2+rWTRyFdoKtAZTKLLd9QGEAWwx6FGKNzD7FXqHMN4dsD8mgo5SRCA0Suaie2PlmNx3CIkPCAEH%204GWRU1GoM8fE+20WPzeaWULN2bCApAMDp7GwwPAZM2bcgCTPWNl1MZhhHx+ulcOYjWI8KjBItr9b%20KCZqVy0aSbvOWdkSCNZk7AHnfA/wxl0trAER241ICT+WbdXQpA0Cgfn1WBz6Idy0xsUAGPrZkxBY%20lWJKx9fj+zRCtjRq1uu7LayKifaeo70BvgDRwEHvvvsuy8KVKFKBi1sUMghI4e14o/hK1BE854JB%20SXtCCEq9Z0GCxHy76SFML1IYOPI5B+CvSBrxHbUMC4fADtV3mwaIic4e52FQzXdMmzat7qyzzjqB%20L+dAWl6D0y0KkbngKmOn4rqX3UUjpQG47Q3vfqAyfE4xCG/Km2ttAHr688D8BSauTAAMqu3+apnY%20BzD2PP2Pf/xjPUZ/BXhzEvqcA5P8yYYFaI5DPau93DeIJO0ZQWB8K1U7gSAw1Mb0tWwpsX+uUPH+%20hRde+FccWmuSR0mopCHd7gTGoSD7vgkDlf1ehncDbwQAVAGmz6IPBpCIfoKwG9UEsDaCQrDOAEGK%206tpdJLDsrrvuehFTig+DJI2DTbcfrPlpvNF8FI3+wYQWqylRpoqoOR8BoGBRw5QKEWHj6CoW0c8C%205q+aBgI/O+It7Yu5y+7EuwOfx7wALtc7E4JRma14FZNHGgEFP2PVdbjzAX3VUIs2DK0eteaTEQUM%20ANMrcCNSBkxrA8zbgJKv5WS8EYC1+iGwSUdhIaPrKTgAkFjD5nde4RQT7DwhdvYnczD/geXfXrBq%20NerIY/gBQ+EfjOY7HdDKMiBo7zYIxfpnn312EXEANsOzZpkBlYJXkYloO/FmFAaznzLnCCdoNjjB%20GqvQIMnzsXDkz1EldDY9VAIWXUYxUetSAKiJuBjXJXhXEEfzZjN4+5Fvhmc11oqunmZ5WWDQGsO/%20FtsEZAxztaxIh7l5L7QKCYD1FpEmtE1mmzZSSEexRmAQVFYXqfnY5tPp1mLT3Gf9n+GHnLm0ccQ3%20GE2ekgC4PNPIz1YWrhuSWs3Jmg5mv0w6bc5rMZ8T5ruyBMiablWSoJAcp39F0iv4UKU1RoW/Wv/H%208KTJ4lmZg/BuYzPntbkCkNGJVl1guWkCiXROu91sIIkkpluOYylm3f7Vw0CZbQlBq2ktFvMTzsBV%2068g1MSRjtTb3HcLOOSJ9Tqj1CMNjSjhMFmNbrWOJAJ6FTg4VReFmGbJTEzXlqlsoJsK/rL9QfO4M%20Tm2jUbKrzCcApLcBB09k0WKXU0wq+2pBle/fHVVeEBU6XCtMuDgIbRRWF7sNocoYYgHFJL3mpRTJ%20rr9Mg+BQryOz8X+ake2bAxzgVSeeby+mAPCXVpvikeFo9cAA9kKoMoCARKKI9iAD4hZzHBsAj27j%20oVIKSOR4O5M8GtC+MtsN5nhHMQVAINKOBowYpKVlLRCpmNShpo4tMQFot1/jawCdtYb5muxRkGAn%20u0BSVXmyntLqgkhsRR5BGkWZEtQCAuAU5rGfm93K3mJqAGmBCjPiq0wTIJEoYudJA2S0LWEz0GZa%202rS2YjuB7j0UmyazgEjF70B7v7Qo42oz+1iRNED4vYrOfDHc3f4/o5hiiimmmGKKKaaYYooppphi%20iimmmGKKKaaYYooppv8FlJhXILrvZ3wAAAAASUVORK5CYII=%22%20preserveAspectRatio=%22none%22%20height=%2287.169%22%20width=%2287.169%22%20x=%22159.832%22%20y=%22119.548%22/%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22176.338%22%20x=%22204.666%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2214.44%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22176.338%22%20x=%22204.666%22%20font-weight=%22500%22%3E4KB%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22204.125%22%20y=%22150.264%22%20transform=%22scale(.98866%201.01147)%22%20font-weight=%22400%22%20font-size=%2219.302%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#0ff%22%20stroke-width=%22.504%22%3E%3Ctspan%20x=%22204.125%22%20y=%22150.264%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3EROM%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1637834978323 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 160, + "y": -224 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 296, + "y": -224 + } + }, + { + "id": "6ec23c9f-6cb9-4075-82e2-cdd930936723", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1424, + "y": -216 + } + }, + { + "id": "314ee589-5dc1-4846-bf48-26139fa23881", + "type": "basic.output", + "data": { + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1592, + "y": -216 + } + }, + { + "id": "a7510180-8076-417f-85df-c28637f785c4", + "type": "basic.input", + "data": { + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 160, + "y": -152 + } + }, + { + "id": "94c6ef30-66d0-4e91-9533-228c16567ea0", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 304, + "y": -152 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1016, + "y": -64 + } + }, + { + "id": "9c25b52c-7567-45da-86ae-5e3562e1b829", + "type": "basic.inputLabel", + "data": { + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 1360, + "y": 152 + } + }, + { + "id": "801125b1-9fe1-4f62-8c4d-ece22c1ddda3", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 392, + "y": 184 + } + }, + { + "id": "8783fd57-b5ab-4d9f-9ac5-42695f31426f", + "type": "basic.memory", + "data": { + "name": "", + "list": "", + "local": false, + "format": 10 + }, + "position": { + "x": 1192, + "y": -24 + }, + "size": { + "width": 128, + "height": 96 + } + }, + { + "id": "b770c97e-fbbb-4a98-9621-86b92dc9b352", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 392, + "y": 96 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6fb72ae9-eb3a-4ba8-8772-0c1803e34b0b", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 1056, + "y": 360 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "928f5ccf-46fe-4f82-8222-bda87a543a31", + "type": "2c97f6ae6ea60105a0bcfee2d298380364fdcceb", + "position": { + "x": 1032, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "type": "675d07aac63ce0550317943cb497b372515850a5", + "position": { + "x": 1208, + "y": 120 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "8f09a2f3-776d-4f9b-a183-c8d44a35f1a9", + "type": "794b6d339cabef030fc217c03ed1c1b49d99169c", + "position": { + "x": 936, + "y": 120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "308ef188-2cd8-4ab5-b0ef-d299765e58eb", + "type": "basic.info", + "data": { + "info": "Dirección de byte", + "readonly": true + }, + "position": { + "x": 384, + "y": 256 + }, + "size": { + "width": 168, + "height": 32 + } + }, + { + "id": "704dae65-edf8-4feb-9d88-f9161c1221cd", + "type": "basic.info", + "data": { + "info": "Descartar los 2 bits de menor \npeso de la direccion", + "readonly": true + }, + "position": { + "x": 544, + "y": -40 + }, + "size": { + "width": 240, + "height": 64 + } + }, + { + "id": "f1477809-c851-4ebb-8191-6eb4a9bd9058", + "type": "basic.info", + "data": { + "info": "Direccion de palabra", + "readonly": true + }, + "position": { + "x": 1016, + "y": 192 + }, + "size": { + "width": 176, + "height": 40 + } + }, + { + "id": "1e60030f-8623-4f13-8a86-05f671bd6712", + "type": "basic.info", + "data": { + "info": "Es memoria ROM: \nSólo leemos", + "readonly": true + }, + "position": { + "x": 880, + "y": 312 + }, + "size": { + "width": 144, + "height": 48 + } + }, + { + "id": "244d243e-2efb-453b-a899-d664746bdc63", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 560, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "04c23ad4-61ec-426c-b238-08e74fd1d364", + "type": "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99", + "position": { + "x": 744, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "801125b1-9fe1-4f62-8c4d-ece22c1ddda3", + "port": "outlabel" + }, + "target": { + "block": "244d243e-2efb-453b-a899-d664746bdc63", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "8f148bce-756b-4117-84c1-67526a839881", + "size": 32 + }, + "target": { + "block": "9c25b52c-7567-45da-86ae-5e3562e1b829", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "0b91cb0d-4144-4939-8755-e331104016db" + }, + "vertices": [ + { + "x": 1152, + "y": 96 + } + ] + }, + { + "source": { + "block": "a7510180-8076-417f-85df-c28637f785c4", + "port": "out", + "size": 32 + }, + "target": { + "block": "94c6ef30-66d0-4e91-9533-228c16567ea0", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "6ec23c9f-6cb9-4075-82e2-cdd930936723", + "port": "outlabel" + }, + "target": { + "block": "314ee589-5dc1-4846-bf48-26139fa23881", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "b770c97e-fbbb-4a98-9621-86b92dc9b352", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "244d243e-2efb-453b-a899-d664746bdc63", + "port": "79b18de5-9152-4bdb-8059-3dcf8efa92dc" + }, + "vertices": [] + }, + { + "source": { + "block": "244d243e-2efb-453b-a899-d664746bdc63", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "04c23ad4-61ec-426c-b238-08e74fd1d364", + "port": "8b0a8a99-fd6c-41b8-826a-52f35e572df2" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "6fb72ae9-eb3a-4ba8-8772-0c1803e34b0b", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "c61902b3-38ce-45bf-98c9-322638c2264b" + }, + "vertices": [] + }, + { + "source": { + "block": "928f5ccf-46fe-4f82-8222-bda87a543a31", + "port": "da6a94bd-59bc-4ebc-ba7c-d87004e74d83" + }, + "target": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "24f3cc51-a5fc-4fae-a0c4-fd8fff588789" + }, + "vertices": [ + { + "x": 1176, + "y": 256 + } + ], + "size": 32 + }, + { + "source": { + "block": "8f09a2f3-776d-4f9b-a183-c8d44a35f1a9", + "port": "a124c70a-5b3c-4e40-8217-5ae785d4edb2" + }, + "target": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "24a52911-bc93-4ade-a100-d5275930c6ab" + }, + "vertices": [ + { + "x": 1104, + "y": 168 + } + ], + "size": 10 + }, + { + "source": { + "block": "04c23ad4-61ec-426c-b238-08e74fd1d364", + "port": "02680a82-d9bd-41b7-8c08-990091e7d2f2" + }, + "target": { + "block": "8f09a2f3-776d-4f9b-a183-c8d44a35f1a9", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "8783fd57-b5ab-4d9f-9ac5-42695f31426f", + "port": "memory-out" + }, + "target": { + "block": "ef1919e6-115c-4414-b8ae-4e23bd8761b6", + "port": "d80bfd80-1f6d-46af-b5de-5cd121ebe630" + } + } + ] + } + } + }, + "2c97f6ae6ea60105a0bcfee2d298380364fdcceb": { + "package": { + "name": "32bits-Value_0", + "version": "0.0.1", + "description": "32bits constant value: 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22153.645%22%20height=%22230.616%22%20viewBox=%220%200%20144.04211%20216.20273%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M72.021%2022.332q-21.774%200-32.8%2021.495-10.887%2021.355-10.887%2064.344%200%2042.85%2010.887%2064.345%2011.026%2021.355%2032.8%2021.355%2021.913%200%2032.8-21.355%2011.027-21.495%2011.027-64.345%200-42.99-11.027-64.344-10.887-21.495-32.8-21.495zm0-22.332q35.034%200%2053.458%2027.776%2018.563%2027.636%2018.563%2080.395%200%2052.62-18.563%2080.396-18.424%2027.636-53.458%2027.636-35.033%200-53.597-27.636Q0%20160.79%200%20108.17q0-52.76%2018.424-80.395Q36.988%200%2072.021%200z%22%20style=%22line-height:1.25%22%20font-size=%22285.851%22%20font-family=%22sans-serif%22%20stroke-width=%227.146%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "da6a94bd-59bc-4ebc-ba7c-d87004e74d83", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 888, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "0b977ca6-8adf-411e-9a22-73ddb0c78a2b", + "type": "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "0b977ca6-8adf-411e-9a22-73ddb0c78a2b", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "0b977ca6-8adf-411e-9a22-73ddb0c78a2b", + "port": "cd1ea929-712d-45ee-8b01-e608e6bf8869" + }, + "target": { + "block": "da6a94bd-59bc-4ebc-ba7c-d87004e74d83", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "9597518c4f1e7dd74e5b39c2df0ebddbd556b06e": { + "package": { + "name": "32-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 32-bits generic constant", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 968, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "cd1ea929-712d-45ee-8b01-e608e6bf8869", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "675d07aac63ce0550317943cb497b372515850a5": { + "package": { + "name": "Memory-1Kx32", + "version": "1.0-c1637824797422", + "description": "Smem 1kx32: Synchronous memory: 1024 words of 32 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%2087.168922%20106.43202%22%20height=%22402.263%22%20width=%22329.457%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-159.832%20-119.548)%22%3E%3Cimage%20xlink:href=%22data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAIAAAACACAYAAADDPmHLAAAgM0lEQVR4Xu1dCZBU1bm+PdPTM8CM%20AgMO+yCrAq4oGjWKIIorcYnRlD59saImGlOaqK/UPPeHu5VyjyYuMRu+uFVEjRqX4FNRQURwQVxQ%20YdiGZQYGepZ+33frfK9Oneq+t+/0zHTad/+qU7fv7bt0n/8///L9/znX+1ZTTDHFFFNMMcUUU0wx%20xRRTTDHFFFNMMcUUU0wxxZToofuX8XPo82LqcLaZUhUAMbucraKi4viysrI9jCBkp5gybKBH0un0%20cuy3URC6WwiSXvcRmV9ZVVV1azKZPBvN69+/vzd06FBv2LBh1mkxff31197ixYvJfa+1tfUnHR0d%20x7W1tc3HV2kJQakJQBmZjzYUo99n/qRJk7xTTz3V69WrV5bTY/rmm2+8u+++29u6desO2L0cAnCq%20YXy61AQgYe5bBebvB9XvJRIJ79hjj/VSqZTX3t6e5ZKYBg0a5B133HHenDlzPPRZLQ5VG+a3lpwJ%20kPoH83uT+Ww77rhjCPNjYh+Z/hqK3T5oW9C2obWXlAaQAMCm9SovL/cGDx7sQaWFXBbTTjvt5AsA%20+qwOu7SVSTnOpSgASdBkSjTtPhybkMtioomkyWTjLvmjSK0UncAkJLmMAgDKUwBiqqur81atWkUt%200Bcmc2XJaQCBPtqCvMrKyjztf0wIm9lp1AbjW1paPrIwlUzJaAAJAKgajbYtTwGIaeDAgd6KFSv8%20zmMfloIJcCFf3rOCDT7AKAgAAY78nMCYqC3lB/Q1/Zgy4WB7AERcVAFIiPE333zz6JEjR565ffv2%20Xlu2bKm58847d2psbKQAhGiAmOQr1dTU+J8PPPDAE37wgx8MhgO9BeZg++bNm18588wzXzYQcXtX%20CUGii5ifuuyyy8YD7Fk4YMAAOTHelVde6X300UfejBkzPAhGwG1i5ksA2HdPPfWUd/LJJ7NRczKM%209iOp55577oyzzjrrvwkOGUHIFFsDJIya6nPQQQfdSweGKoyNAgBNIIcmRxQQM15ELcl9oKdU/4yh%202W/sR/anvz98+PD/wqnPozUZc9BeFA3gMv/GG288CgLwaL9+/by+fft6q1ev9gXgqKOO8v/MEUcc%204UOdJUxiUgSmRhv59va3v/2tnztBv9J0+k40Bxe16fLly2effvrpt1EIlCfobg2Q0FYq34J7q6ZN%20mzZ8v/32u5nSKonllhKrPACOyQcofQEonPlZma7GZ6jPFD5v27bN69Onj78/evTon/34xz9+/v77%20719s+NFup5OjOoqJKA7ezjvvPAuM7QuGJkBlyFtXgNkzMbrHUXUx3Utmf/XVVz7zTzrpJL9TTjnl%20FK+EieYrAuO1H8r8nBrgb3/7m1dbW+vNnj3bfzY165AhQ7zPPvvM9wlWrly5DFnD5yAUaQhMB4Qk%20g/My0LxPn3POOQuiOIqJPCDd1IMPPnjiiBEjHiFzObK15Y9Zs2aNn+Ovrq5mKtP74IMPlPjxfvGL%20X3ggCkKpC0AhjNdxfbZb1u9ffPFFb8OGDd4dd9zB+gBv/fr13pgxYzw62OzzTz75hKaWfc5+5jFt%20aX5/hrT7gzQPchQ7awLKZOPB4Nly7CQAbBzlUv38/P7773vQEs0vv/zyckhpNTpkNK6X+i911a/P%20UUe8y2jdI6tgiMj4t956axGFb88996wD0wfRDJDp7G/wQ+l18kJbaoo7jjzyyL8/++yzX8tR7JQG%20EPPRasHQT/FwPkBCwCaJ8zXAhx9+6Esp4lVJqXfDDTf42uDggw/uSVsscjtd+1Gu1/lsETRAkLoP%201whLlizx2+9//3uNbm/8+PHe22+/7e2yyy5ECmluXQ3A5kdeTz/99OlwIOfiVs0mZMwUpAEA6pDx%20fKgEQM6ez4w33niDQuDbLVJzczO/U1hDFdqVjC/cMYt+vYRAx8JUvCtA+Qinew/aeoZ+ZDAjAZ/p%20L730EiMEAkbsY35HXnDLfqdJZn/3poOO1kIB6KwJSAjWBZOXbtq0acK6det4c7v5Dx83bhwZQcEg%20w33mAwFkR7goYE8LghgSpgGiMknfBal7Nff5bIHnsx+5hZPN/vQZrKTaPvvsw/IxagGZYTX1/Qo4%207B8Y/y1RSBiokKIDCN9//upXvzoRUjgMzKxgBMBIgIQQpQwJjElQRymZBgoAnRj9GVzTE/Y5aDQV%20cr39XRRV79p6CQKZlfV7HaPZlPYk0zm6JQDIEHKkL2tqatrcAWIEwMvwfevatWu/efTRR1+wI4BC%20BYA32o625dprr32R/gBab3NdObzSGoAWp+AHp+QfSOVLimWnihSjizGFXu9+7zLVfYaOaWt/lkl0%20Ge+eRy0qbIX7cvoIq484/vjjH4BGbrJCvq1oG9BWo20zqj/U9iZC1H81mY5q1csQ6x+KeHSkHQbS%204VMRA4/RLr3zzjsefYbXXnuNjTbMb98KClf37ne5jkkLZDUX7Nd//vOf3ne/+13vkEMO8Xr37s1I%20gJqAZsHXrtAATB3bTiCPrYXf8NpvfvObG8GHz4UWFuIElkGl/BwO3r+7IaCwfkqlnEH+6LFjx3Kf%20zJc0f9vyAO7IzmfUZ8MW3OO6BweStGd6t912S5Hpb775pjd58mSli8lw5Q3kBBIbGIhrT0QSbgTM%209jGqJ+iMACivX4VM1BnCAOwmW88fy+8XLFjAH9R49NFHPwNPNA3I8mgcHwTp/bYIQC47HmYqcp7j%20OpiuxnjssceW3X777f/D/YcffvhwhIH1+++/vwYd+10aQELBRuRw3/PPP38s0vGLFQayRRWASrQ+%20YF6N7BCbGwYyTUnmm+rf/n/+859P5+df//rX3scff+ypIORbQLkAnSAH0T2WCxDKeg5yLBP/9Kc/%20TbSdwHnz5tEcKMyWj2BjATQhHIzjcJtPjA/XHlUDCAPoDa9T6kXhhv9gMXbhwoW0RYxTqf4JBUtA%205PCUPBLo2m2X+focgQLxAWL+ep4YTJyFnxsaGuhnMSlE6F0mVlv6ATzOaKHNDOLyzkQBSgKVY87a%20vXBEzqVU8eZ8iJhKdJB2CRgBpZPHZaPowRbTB3DVaqHXh4V67oiOKgTaJ+MY40sA5PlL8xJsI/PT%20mE+YIlDEczTYYG7pN9BJnHfvvfd+lk9NYTIkBGy9/PLLn5syZcoqPHRnaIIqU6yYAGMpWeWoA9h1%203333HQfG0ymk6uEPlQAwj01hKVUBEEPz9eZzYQt5h6LLli0jw3k+4XRXALjPnMt6wL3zySOc126u%207QBrtmOQrnnmmWfeVh1hZ5NBHWS+iS03zp8//2O0tSY3UG5a6phjjhkPp2Sc4n/lsCWRaMUe/WJM%20IdcGaQD+vyBgxx7pYc/loGHmz36WgCBbAJgXGAwsoOamm26aJ0ab1kJ+oTWibdF3hQhAC8KQjtNO%20O+0QPPBgSFh5whCksgwmYJjtINIUfPnll2SARkexBUCd35UCQIa710SCjd3vlTHl6Hc1Co9xS5yf%20dl8a4fDDD5+2xx57jAAPVA/gI7eoKVz4/PPPz4EWkAB0RBWAhGl8SM0FF1xwPx4yXo6dtgR7Nm7c%20qOofZgVZrsSctf8ZxOOlYv+DGGcLM7dhYV02LRAaKXz66adZsQH6A6NGjfK++OILf4ChLoMCwHQx%20ne8x6G95/0oaTdh1112PQ6Rw8vXXX/9GZ6FgqviKH/7wh6cB5h2fDQPgD6Dal+3HH6D6WoLpzSuQ%20H6hFR00pCQEITwa5zHcdvygYQFYBBYbPweQy33/ek08+OR9+1DqM9tqJEyfuB6bT0dM6C9II8hNk%20LmrgnJ+Nr98xvGyPggMIBOoNaZqZCwOgACgWfe+99wgHUyv0wxz3fvBOK1naDCqeAES3wdkydmJ6%20ULVPlJSve4whm7z+rNfuvffew1BnMYz9iMGYBhiUAj7AwWfnB1xAiP1eTx4aHKA1qgmoQOuFZMM2%20Le0iVS8hkF2aO3euX6Sw++678/gQNP04tmJhAO4oDTtH4Wouu5+rHiDoWNjz+Tyqdqn+XGsGDGEx%20CJnKfqYJIBhUX19PbMBGAwXPU5swZE8aAWg2g7o9igDwghTU+st4yIEo8qR614PUuNYPcQB2gh0B%20KEnEzz2NAopRQUwPvc62+7YwhJHLePd+7rmI5VnxG6hdpGnJZEHAsPF+4ccLL7ygzKsGp2oFeXyp%20GchlnU0Hd1xzzTXzZs2add306dMPwg1T6AS/yIC1AHhgAn+gDAKyN+yRoGEJQE+HgTbTIwhA4LlK%20bbueea578ry8439oVwI2YfWECv2k1qVdOcqX4bNqAjIQlAySRh1pELTywoceeuhFjfqoAkCOtZmc%208mbY8vfQvsF+jSTKXFcJ3P80FSdasCVbT6OAfE6AAETzB8TMELJHdyR4mBEUwrW8tAqFRFgAeKuq%20HxZ/1iE8nwsHkuq2VcCdUfmN5J3hYVvUbGDGXNTCm8ABqcVExYGQsio0nl9ObYBc9QGIS4fpB0kA%20ILHqCDGm2GrfPTdSvt9toKAQMBQSpsqX05cHUdXbA0ueP0PAHe67776TkSz6K/q8FfdrY8PnXsBi%20Nr/yyiubyMNOFYWqnBiFIMfAAbnBjQA0H4CMFvYPtUMcm99zCpPjHUemqPa7K+bm2aPfbi4zXSaH%20ZvtssAfMsZy+cGKU8Prrr8u790w6WFp28HnnnXc+czJ2JMDt2Wef/QjC+EsiQcH2TKCf/vSnoydM%20mEDm55wPIPWPknCqpmY4i58atTUGm2qZgH/xtK6Y7gI/dtMxCbUNCGk/0PaT6eifKMzX/IA0/IWl%20uI4O9xhA8tUHHHAAGc0+Z3OxAG4ZIfzb7373u0U/+tGPHrDKwzKhGkBz/hBrni6VLqbbn/VgxPy0%20aXT81iFV2c7vlL9mKxIFedZBJVuuANgYiC0IWa9xBcl2hOnxa7Z0BGJ+IAVktZ1C9eqrr34BQGgS%20J+DstddedmGIRr6azAUHYq+gxFAyFwYAhlbxB8vDd6Fgph5ZnkxnBhlBerUjEaeOxHcMGYVs9SST%20XTXunhNUjp1LACT4OuYyOFeuwN5nH3WK+boe4NpkMpU8QG6GU8c4SYSwO7Ot2YpCOCiJMFYRC5Av%20EMUEpABQLCHowHBF8/5tIu6PQlECEtQGFBTNExDzu0MAwpgpFet+F8z4cAFwr8l79EMrkhkF9YVU%20vJjNmgCG2iy+Yf/zmO1n0G8gwTfYbkVuiUg4wK233roIocYcqJyD8cBBkmp1Do41IzlBhldbtqi7%20BUCMCMvURREAXeMCP3axRdSmkS9MpCBBp++AbKwPCilFvMMOO6QBCDUCgh+kCEihMPiyEY7466gL%20fKJTOIBSwZjd+zi2LErsh1apKiFeN3PmzJFXXXXVLI4ONcs77Q4BiFKCnU0AwkZ8wPGiMF8CYDt6%203FcVdurxxx9fftttt82x5gZ0GOx/o5kfsDXIASQlA0CgJrQNmIo0GGqmL6SrEq2cNQE1oDPOOMNO%20FPFHUvq6QwDEvDDmh2mIfBnsRgNhQuPmDKj2HeYXLADC/O0ld7iG0IHAFRrhiK8jBsCqIPAijRxN%20K2oCGslDGwyKBAQh3kxdcskls4Et7207f3LyiEopSmBCg3XrBDlce1kA5a3m3a0oDxtv2+9sQpS3%20AMj/IMgjh68ryHj/nC1MH4BRAZNzFAiqetZsHMucjJw/YQFQ/w1wFn+C6myiuB1RcAC2sl/+8pdX%20wM67zBfmLxDIF4SlS5d6tEfwTL8CQOSvC1CQAIQzsKsFINu9o5gHxfnsj67WfGT6avCigWX3eMZw%20ONx08MgHNTcEZBsEMz0bAjA1ihOoKWG9MPIPs3EAu5FUC0AQCCaCKGB/ABX9oY5YKl6IBsjmXefj%202UcVAG2D7mufn2ufI94N9bpSANi/dejbOo5sMp9l44DoyQOZBxcHUCZ2l1tuuWVfDOZ5uXyBZI4J%20IdX4MzVcm8bWAPqsCSFaFwDlR5ocomyVmNYVDl4+4E22e0URAp0fKgDudWQ6R77sc3cQw0j5W0Bn%20WSPgm1xUCPG4iwOo0VQTla0jT+ULBGoAe0oY2lrUAwxEuKFRL/LVHNEoAD+Cg9kEQ0b3AcLj8rDz%20omiTIEdOlJXZrhDQBrP+UdhDdxHnY7hRAMwBcQD6AxRCt8BUi3RsRV3gEmEBkSaGYC7aLUgo/BwP%20GOJIvyYhNIL5/dHo/EkACBw5AhCZ8Z3VBtrm60i69wtkvnsNRxdr+XR+dxL7VQIgGB7amRnBZvgC%201RRABwsgGLQBcwcell8XFQdIo7hzKdq12B9gagHKhQPAIemDdQG+B+9TDiG3MhH5hoFBuHoU2DYb%20sztjXkKZr+JQ+DkclT2V6+AAk523cQGO8hRqAh7FHMxG4QDWWgHr0b4JWysgmQsEQtuMdOIQePf7%20EHTgqiBs6IAylCmPB0pYazkg/DFkJrdibHeM+nyAHzdTx2ORwkqX6fpMJI7MVxlXTxEdTJob8IH4%20ggSAWjh1xRVXHIEw/CPwhrzrIBaAz61AY1fAAfyQwhBFADISgj/+8Y8XA+s/xnUCyWyqPjJY8wEB%20OvDHsMlOhavjcMZHdwjdz+Fz/NTC7D4FW/aex3o6s0lnjn3PWgtlArlPSHggVmEbyLDQxQJQyLMP%20VhI5KbQs3MUALr300t3g3dvMd2sB1DhT1fcHnnjiiQWQ0jTO3x+d3j+LKg5KowYlVKLCv0EZw7yF%20T0zWdGsCMPq+GHTPPffM5fb73//+RDjg9ZivybUZqA1yYgHEcTBJ9ORzzz33/rxwAM35w41HK8HD%20G7lND+V8AGqBzz//fC1CkmGQ0ibkvSukItGij/rw40HMLhROVpO3rVCqaIyXFoPJHQbTm0IWdhPg%20efa9qoPCagJ2dsJABwfI8ro3jOKBzOgx3Wvj/ZrpQ3XDV50yHJw6dSqxgPEqB4MmYDawMyO5s0IQ%20ZRHH0BBTWkjhF4RZPkVRi1sOPfTQ3ckPMpYTQ7B4J00vcQGtHqowXIJAU81ooNKE9Vt4q7xwAEw8%20+AATPbZiejKLCeT9qnFU0A7xIaoF4GeZi6Awy7afUZgdBtkGCUC+foTqGch0OnwUcLu6qWhCoNBP%20CSEyW/X/1AQ0BfJXxCsKAWdrQSNHwgEybFiteiUeeAMqUWaiKGQ4vX9QQkzla0wgWYPhgFQ7qWA6%20ShKAqCM/2A8IX6SB2yjMd59HQIUjho0CYINaxV6rmGigFoWy1wxMAxD6ElP4iAVk0Dxu2TBI1/7l%20L395CeH6gnzTwYoA0lQXUOXvo63Bfl+0XlYtQAXeZTPhoosuGuv4BBQE4eE87uL4kRkOCmJa2HSv%20sHtJtUtdkukBL7cqriBQAKQBlIvh4pwo/96ACTxPaW6AtbbjJlMTsIX7eU0N08WaXIClxsbAw+/P%20eQAqBMGDdwBCON2pVVdIqCoabiM4gpF9gfxW6Q5v9O7p5LnVy0UUgvAZQiA5fXwryxRogvVIEK1A%20n/tCQBygEoTFpj90agI68jEB7Xg7Vd0JJ5zwACRsnJsIUtZLAoC4lKEgc9U2ChhVAKIJSDj8m7NA%20k8KpEYXUNUd9rmtzrv1XDGLCiQkg+l8sxhUKC4eb8f6ReCmHu3I4X9e/CotLn4Pyvoa8cAA5gXjB%20wy1wLGzm20KglarZiQwBGW9+CWdjPYSuBh01Vh1fIMAThtVHAZzYbHVPD1/OlZzbbHP8bOZrvxg+%20AAdeM3iyDJFANXCJscy5wPYrJUy+SPvas4UH431N90AAJltQfntWAVA1MFofPGhKrsUh7algzPtD%20/SzCJJJXqV4wVWzEd77znbH6IQWp//Da/chr95PJqmZyp3y7QuvOFnaFoYeJzvVmgEHzuHPxxRd/%20hWLdaQr/0Oz1hBQNCJUdCrh4+nXXXTfXrgkIEoBqwp4QgqwLQ1DV8yFcCpZFCQgVazET9Xv4LgMk%20sBfeciFp7MwI7xTcq3131GqSijx6mC4KrJa2t+cuqtPsY0FzAXtaG/BNLLVYtncWGcx8DON/ml7k%20ajgoyRdXA3BL/4ZRjd4fIF8gpwbgfhVuuBWLE/XOUgsgTJzM5yLQFJRhPMbzaFMxdYmd1LWjPvwe%209jO1r3WMKAAaHa59dxNHbsyv79mKxXz5LJXo8xGaH4CXRpCxHIAE5qjVstUE8Dues0FYQHhJGAiv%20JLsGb5+6QLUAjj0ns1dASEY48wFUGCFJ7DTkCyoogqB9h40UiKM5dq6nL0Zrm+14zn21HiIKr6IA%20qXyVizUiUqsGNpOyBJW8oNBsxNT+R4DrNJAVYUigpoVvxyLFS9CuNrUAVB/l9lvEEF6cxMWKskwI%204YMVBvYYw2Xfqe7gILkjPWCaeiiSmAvBLEZGUAJAYVY2ln1eceKJJz4EgW9WqGdai3l/wEpNDQsL%20AwUC8UbrjLrYrhdEmJaCw3cApowPcWsEhQgqZrXCr+4UADKbsTyl3bHdoat/BWkDl8HFYr77P2TK%20JBCMBGrw3uY9Acw9SX4JEDL2fqPh5WZ+l48AqBhkE5aInQoseRJHvVkaphw2pwKJiMOUJVRySEUg%202kYEgqKkaW01z0anrpA3g7jqPSgMLHpGEIUfeo2sPUOIOMAUvGOwCcc2kfk4Rl62oXhlKV44vQrR%202tZcq4Ym3VoAFBFOwtJkD+MhQ7NNCGGihD+C+4sWLeL6gEIBJZlkfr44QKTsIB0dRBruaC90RnGg%20utfxYhMZzsUl+P/Zz8Bd6IgLDOLqYdOdCSKcScwsYhOqt/kSiTeDfICEVDyygJfCcxwaMCGEW0UD%20tEdLYHe5SHEaAjIOD+4vAegEw9193p/PItMpYFGY4nr8BQhKUUlCSTRwSRrEUjD0yVhMQUuB6WET%20RGqwoATfJLqXfARbEyQdDKAGN58hECjXhBCqXYJACBNXQgtsxLYCmmADEMGMfACdHzG8U0WrMnMc%206RGYEk0Q1LElQBR+mgCfeeiTFryq7wvwYRyqg0MniOD8oVjuZwb8t7mWEGSyCUA1VTwQJt4w66IQ%20HJGcq8b1a/EjhoDpcgg9ZKb4lhBemy+Eq9WveF8y3Y1nu2OmTcm+sOKwww7bDXMx9FoYfwvgjXwg%20b7IWhbA/oZ2prbOCQUn3RdFgwlLYmgmK69mEoWs04v0A3OcKFQR/bCGRD+AyWfdQEzKXJ4Nicl8p%20z4IQ8gUmm1PFaCa5tXkgJJSTR7ZeffXVVmFIwLwAzCO7Ce+dPQ8MrYOzVwd/oNJ6nVkzsn9fwhEZ%20i5GfctYG5CjW4sddPBpjIrOhne03hckx5kBahtC8Foxm6l4oaBpRwGpo8yYsJ/eHrNlAeYWy/2gD%200UagDTUgUDVa0i4GeeCBB46DdzkKgkEUitlAaQBWrvpATPdQTIR/8WZwaV/2O/uf6eF1KP8mk1sd%20R2+rWTRyFdoKtAZTKLLd9QGEAWwx6FGKNzD7FXqHMN4dsD8mgo5SRCA0Suaie2PlmNx3CIkPCAEH%204GWRU1GoM8fE+20WPzeaWULN2bCApAMDp7GwwPAZM2bcgCTPWNl1MZhhHx+ulcOYjWI8KjBItr9b%20KCZqVy0aSbvOWdkSCNZk7AHnfA/wxl0trAER241ICT+WbdXQpA0Cgfn1WBz6Idy0xsUAGPrZkxBY%20lWJKx9fj+zRCtjRq1uu7LayKifaeo70BvgDRwEHvvvsuy8KVKFKBi1sUMghI4e14o/hK1BE854JB%20SXtCCEq9Z0GCxHy76SFML1IYOPI5B+CvSBrxHbUMC4fADtV3mwaIic4e52FQzXdMmzat7qyzzjqB%20L+dAWl6D0y0KkbngKmOn4rqX3UUjpQG47Q3vfqAyfE4xCG/Km2ttAHr688D8BSauTAAMqu3+apnY%20BzD2PP2Pf/xjPUZ/BXhzEvqcA5P8yYYFaI5DPau93DeIJO0ZQWB8K1U7gSAw1Mb0tWwpsX+uUPH+%20hRde+FccWmuSR0mopCHd7gTGoSD7vgkDlf1ehncDbwQAVAGmz6IPBpCIfoKwG9UEsDaCQrDOAEGK%206tpdJLDsrrvuehFTig+DJI2DTbcfrPlpvNF8FI3+wYQWqylRpoqoOR8BoGBRw5QKEWHj6CoW0c8C%205q+aBgI/O+It7Yu5y+7EuwOfx7wALtc7E4JRma14FZNHGgEFP2PVdbjzAX3VUIs2DK0eteaTEQUM%20ANMrcCNSBkxrA8zbgJKv5WS8EYC1+iGwSUdhIaPrKTgAkFjD5nde4RQT7DwhdvYnczD/geXfXrBq%20NerIY/gBQ+EfjOY7HdDKMiBo7zYIxfpnn312EXEANsOzZpkBlYJXkYloO/FmFAaznzLnCCdoNjjB%20GqvQIMnzsXDkz1EldDY9VAIWXUYxUetSAKiJuBjXJXhXEEfzZjN4+5Fvhmc11oqunmZ5WWDQGsO/%20FtsEZAxztaxIh7l5L7QKCYD1FpEmtE1mmzZSSEexRmAQVFYXqfnY5tPp1mLT3Gf9n+GHnLm0ccQ3%20GE2ekgC4PNPIz1YWrhuSWs3Jmg5mv0w6bc5rMZ8T5ruyBMiablWSoJAcp39F0iv4UKU1RoW/Wv/H%208KTJ4lmZg/BuYzPntbkCkNGJVl1guWkCiXROu91sIIkkpluOYylm3f7Vw0CZbQlBq2ktFvMTzsBV%2068g1MSRjtTb3HcLOOSJ9Tqj1CMNjSjhMFmNbrWOJAJ6FTg4VReFmGbJTEzXlqlsoJsK/rL9QfO4M%20Tm2jUbKrzCcApLcBB09k0WKXU0wq+2pBle/fHVVeEBU6XCtMuDgIbRRWF7sNocoYYgHFJL3mpRTJ%20rr9Mg+BQryOz8X+ake2bAxzgVSeeby+mAPCXVpvikeFo9cAA9kKoMoCARKKI9iAD4hZzHBsAj27j%20oVIKSOR4O5M8GtC+MtsN5nhHMQVAINKOBowYpKVlLRCpmNShpo4tMQFot1/jawCdtYb5muxRkGAn%20u0BSVXmyntLqgkhsRR5BGkWZEtQCAuAU5rGfm93K3mJqAGmBCjPiq0wTIJEoYudJA2S0LWEz0GZa%202rS2YjuB7j0UmyazgEjF70B7v7Qo42oz+1iRNED4vYrOfDHc3f4/o5hiiimmmGKKKaaYYooppphi%20iimmmGKKKaaYYooppv8FlJhXILrvZ3wAAAAASUVORK5CYII=%22%20preserveAspectRatio=%22none%22%20height=%22102.996%22%20width=%2287.169%22%20x=%22159.832%22%20y=%22119.548%22/%3E%3Cg%20transform=%22matrix(.7703%200%200%20.7703%2096.231%20157.67)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22161.244%22%20x=%22204.861%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2214.44%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#0ff%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22161.244%22%20x=%22204.861%22%20font-weight=%22500%22%3ESync%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20x=%22205.095%22%20y=%22147.504%22%20transform=%22scale(.98866%201.01147)%22%20font-weight=%22400%22%20font-size=%2219.302%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#ff0%22%20stroke-width=%22.504%22%3E%3Ctspan%20x=%22205.095%22%20y=%22147.504%22%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20font-weight=%22500%22%3ERAM%3C/tspan%3E%3C/text%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22180.936%22%20x=%22205.679%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2219.276%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#0f0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22180.936%22%20x=%22205.679%22%20font-weight=%22500%22%3E1024%3C/tspan%3E%3C/text%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22196.767%22%20x=%22192.621%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2219.276%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#0f0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22196.767%22%20x=%22192.621%22%20font-weight=%22500%22%3Ex%3C/tspan%3E%3C/text%3E%3Ctext%20transform=%22scale(.98866%201.01147)%22%20y=%22197.785%22%20x=%22213.617%22%20style=%22line-height:1.25;-inkscape-font-specification:ubuntu;text-align:center%22%20font-weight=%22400%22%20font-size=%2219.276%22%20font-family=%22ubuntu%22%20letter-spacing=%220%22%20word-spacing=%220%22%20text-anchor=%22middle%22%20fill=%22#0f0%22%20stroke-width=%22.278%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'ubuntu%20Medium'%22%20y=%22197.785%22%20x=%22213.617%22%20font-weight=%22500%22%3E32%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0b91cb0d-4144-4939-8755-e331104016db", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "24a52911-bc93-4ade-a100-d5275930c6ab", + "type": "basic.input", + "data": { + "name": "addr", + "range": "[9:0]", + "clock": false, + "size": 10 + }, + "position": { + "x": 120, + "y": 288 + } + }, + { + "id": "8f148bce-756b-4117-84c1-67526a839881", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 928, + "y": 336 + } + }, + { + "id": "24f3cc51-a5fc-4fae-a0c4-fd8fff588789", + "type": "basic.input", + "data": { + "name": "d", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 112, + "y": 376 + } + }, + { + "id": "c61902b3-38ce-45bf-98c9-322638c2264b", + "type": "basic.input", + "data": { + "name": "wr", + "clock": false + }, + "position": { + "x": 120, + "y": 456 + } + }, + { + "id": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", + "type": "basic.memory", + "data": { + "name": "", + "list": "0 0 0 0 0 0 0 0\n0 0 0 0 0 0 0 0", + "local": false, + "format": 10 + }, + "position": { + "x": 464, + "y": 56 + }, + "size": { + "width": 200, + "height": 72 + } + }, + { + "id": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "type": "basic.code", + "data": { + "code": "//-- Address with\nlocalparam ADDR_WIDTH = 10;\n//-- Data with\nlocalparam DATA_WIDTH = 32;\n\n//-- Size of the memory\nlocalparam SIZE = 1 << ADDR_WIDTH;\n\n//-- Memory itself\nreg [DATA_WIDTH-1:0] mem[0:SIZE-1];\n\n//-- The data_out is a registered output (not a wire)\nreg data_out;\n\n//-- Reading port: Synchronous\nalways @(posedge clk)\nbegin\n data_out <= mem[addr];\nend\n\n//-- Writing port: Synchronous\nalways @(posedge clk)\nbegin\n if (wr) mem[addr] <= data_in;\nend\n\n\n//-- Init the memory\ninitial begin\n \n if (ROMF)\n $readmemh(ROMF, mem, 0, SIZE-1);\n \nend\n", + "params": [ + { + "name": "ROMF" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "addr", + "range": "[9:0]", + "size": 10 + }, + { + "name": "data_in", + "range": "[31:0]", + "size": 32 + }, + { + "name": "wr" + } + ], + "out": [ + { + "name": "data_out", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 312, + "y": 200 + }, + "size": { + "width": 504, + "height": 328 + } + } + ], + "wires": [ + { + "source": { + "block": "0b91cb0d-4144-4939-8755-e331104016db", + "port": "out" + }, + "target": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "clk" + } + }, + { + "source": { + "block": "c61902b3-38ce-45bf-98c9-322638c2264b", + "port": "out" + }, + "target": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "wr" + } + }, + { + "source": { + "block": "d80bfd80-1f6d-46af-b5de-5cd121ebe630", + "port": "memory-out" + }, + "target": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "ROMF" + } + }, + { + "source": { + "block": "24a52911-bc93-4ade-a100-d5275930c6ab", + "port": "out" + }, + "target": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "addr" + }, + "size": 10 + }, + { + "source": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "data_out" + }, + "target": { + "block": "8f148bce-756b-4117-84c1-67526a839881", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "24f3cc51-a5fc-4fae-a0c4-fd8fff588789", + "port": "out" + }, + "target": { + "block": "f5619044-1e4b-4218-bfc2-44eced6cb16a", + "port": "data_in" + }, + "size": 32 + } + ] + } + } + }, + "794b6d339cabef030fc217c03ed1c1b49d99169c": { + "package": { + "name": "Bus32-Split-22-10", + "version": "0.1", + "description": "Bus32-Split-22-10: Split the 32-bits bus into two buses of 22 and 10 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2995bdb2-532a-40ee-86f7-3448bdac2217", + "type": "basic.output", + "data": { + "name": "1", + "range": "[21:0]", + "size": 22 + }, + "position": { + "x": 608, + "y": 144 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "a124c70a-5b3c-4e40-8217-5ae785d4edb2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[9:0]", + "size": 10 + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:10];\nassign o0 = i[9:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[21:0]", + "size": 22 + }, + { + "name": "o0", + "range": "[9:0]", + "size": 10 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "a124c70a-5b3c-4e40-8217-5ae785d4edb2", + "port": "in" + }, + "size": 10 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "2995bdb2-532a-40ee-86f7-3448bdac2217", + "port": "in" + }, + "size": 22 + } + ] + } + } + }, + "aaf5c4a89dde8bdad0bf3dce4c6898ce457c5d99": { + "package": { + "name": "SR1-32bits", + "version": "0.1", + "description": "SR1-32bits: Shift a 32-bit value one bit right. MSB is filled with the input in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22298.966%22%20height=%22132.487%22%20viewBox=%220%200%20280.28048%20124.20677%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20fill=%22green%22%20stroke=%22green%22%3E%3Cpath%20d=%22M91.176%20109.68h10.65%22%20color=%22#000%22%20stroke-width=%222.645%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cpath%20d=%22M59.663%20108.085c-.832%200-1.507.662-1.508%201.48%200%20.818.676%201.481%201.508%201.48h41.84c.833.001%201.508-.662%201.508-1.48s-.675-1.48-1.507-1.48z%22%20color=%22#000%22%20stroke-linecap=%22round%22%20transform=%22matrix(5.55181%200%200%205.55856%20-320.093%20-547.401)%22/%3E%3Cg%20color=%22#000%22%20fill-rule=%22evenodd%22%20stroke-width=%221.428%22%3E%3Cpath%20d=%22M80.414%20192.813l-9.155%205.291v-10.583z%22%20stroke-width=%222.015%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3Cpath%20d=%22M70.553%20186.299v13.027l11.271-6.513-1.056-.612zm1.412%202.445l7.039%204.069-7.04%204.07z%22%20transform=%22matrix(3.91938%200%200%203.85892%20-46.011%20-681.786)%22/%3E%3C/g%3E%3C/g%3E%3Cg%20transform=%22translate(-217.843%20-228.395)%22%3E%3Cellipse%20cx=%22349.268%22%20cy=%22289.633%22%20rx=%2236.074%22%20ry=%2236.118%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%224.432%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%22333.57%22%20y=%22310.804%22%20transform=%22scale(.9994%201.0006)%22%20font-weight=%22400%22%20font-size=%2259.1%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%221.477%22%3E%3Ctspan%20x=%22333.57%22%20y=%22310.804%22%3E1%3C/tspan%3E%3C/text%3E%3C/g%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22400%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22none%22%20stroke-width=%221.65%22%20stroke=%22green%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M4.534%201.47l40.643-.064v50.43M274.981%20122.738l-63.82.063V72.37%22%20stroke-width=%222.813217%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1622709944841 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "type": "basic.input", + "data": { + "name": "in", + "clock": false + }, + "position": { + "x": 216, + "y": 280 + } + }, + { + "id": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 808, + "y": 296 + } + }, + { + "id": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 224, + "y": 392 + } + }, + { + "id": "390a8028-5755-42e1-8173-5d973fb17d9b", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 808, + "y": 408 + } + }, + { + "id": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "type": "ecd30a800a660ad1e59f783099570f517b0d6cfe", + "position": { + "x": 416, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "type": "51b3c0c2868d4b8251891192d15f65348a99fe97", + "position": { + "x": 616, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8b0a8a99-fd6c-41b8-826a-52f35e572df2", + "port": "out" + }, + "target": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "893af5e6-8636-4eb0-9db0-2b2cc10feffe" + }, + "target": { + "block": "390a8028-5755-42e1-8173-5d973fb17d9b", + "port": "in" + } + }, + { + "source": { + "block": "79b18de5-9152-4bdb-8059-3dcf8efa92dc", + "port": "out" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae" + } + }, + { + "source": { + "block": "8dcab02c-2c4e-437d-a479-b12ac0e2cbe0", + "port": "fcdf42fb-4c60-4831-a889-ae811cdabcbe" + }, + "target": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "bc768a7d-c014-42a7-a27a-f607b633630e" + }, + "size": 31 + }, + { + "source": { + "block": "cc2e1e43-e3a0-497a-a1b3-5a4965182eb8", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "02680a82-d9bd-41b7-8c08-990091e7d2f2", + "port": "in" + }, + "size": 32 + } + ] + } + } + }, + "ecd30a800a660ad1e59f783099570f517b0d6cfe": { + "package": { + "name": "Bus32-Split-31-1", + "version": "0.1", + "description": "Bus32-Split-31-1: Split the 32-bits bus into two buses of 31 and 1 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "type": "basic.output", + "data": { + "name": "1", + "range": "[30:0]", + "size": 31 + }, + "position": { + "x": 592, + "y": 176 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 592, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[30:0]", + "size": 31 + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "893af5e6-8636-4eb0-9db0-2b2cc10feffe", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "fcdf42fb-4c60-4831-a889-ae811cdabcbe", + "port": "in" + }, + "size": 31 + } + ] + } + } + }, + "51b3c0c2868d4b8251891192d15f65348a99fe97": { + "package": { + "name": "Bus32-Join-1-31", + "version": "0.1", + "description": "Bus32-Join-1-31: Join the two buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 112 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 648, + "y": 200 + } + }, + { + "id": "bc768a7d-c014-42a7-a27a-f607b633630e", + "type": "basic.input", + "data": { + "name": "1", + "range": "[30:0]", + "clock": false, + "size": 31 + }, + "position": { + "x": 112, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0", + "range": "[30:0]", + "size": 31 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 304, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "bc768a7d-c014-42a7-a27a-f607b633630e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 31 + }, + { + "source": { + "block": "cb2255e1-98a2-4ccd-a0bc-75eb38fcceae", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + } + ] + } + } + }, + "e4c3a8b35a2360bde0cbdd672429f5db72ea02bc": { + "package": { + "name": "Generic-comp", + "version": "0.1", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%2032%2032%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M16%206.958a1%201%200%200%201-1-1V2.042a1%201%200%200%201%202%200v3.917a1%201%200%200%201-1%201zM11%207a1%201%200%200%201-1-1V2a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%207a1%201%200%200%201-1-1V2a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201zM16%2030.959a1%201%200%200%201-1-1v-3.917a1%201%200%200%201%202%200v3.916a1%201%200%200%201-1%201zM11%2031a1%201%200%200%201-1-1v-4a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%2031a1%201%200%200%201-1-1v-4a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201z%22%20fill=%22#4391B2%22/%3E%3Cg%3E%3Cpath%20d=%22M5.958%2017H2.042a1%201%200%200%201%200-2h3.917a1%201%200%200%201%200%202zM6%2022H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM6%2012H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM29.958%2017h-3.916a1%201%200%201%201%200-2h3.916a1%201%200%201%201%200%202zM30%2022h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202zM30%2012h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202z%22%20fill=%22#4391B2%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M27%206v20c0%20.55-.45%201-1%201H6c-.55%200-1-.45-1-1V6c0-.55.45-1%201-1h20c.55%200%201%20.45%201%201z%22%20fill=%22#48B1DD%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M23%2012v8c0%201.65-1.35%203-3%203h-8c-1.65%200-3-1.35-3-3v-8c0-1.65%201.35-3%203-3h8c1.65%200%203%201.35%203%203z%22%20fill=%22#96CEE5%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1637854467797 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7c22780e-f97f-416a-b0b7-acad705d4845", + "type": "basic.input", + "data": { + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": -64, + "y": -120 + } + }, + { + "id": "a58ee4e7-860f-4538-9dbf-758d666fa78b", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 88, + "y": -120 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "cs_rom", + "virtual": false + }, + "position": { + "x": 1456, + "y": 72 + } + }, + { + "id": "6699189a-f1e5-4de9-9df9-8073b4da88a0", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 1320, + "y": 72 + } + }, + { + "id": "192d9c04-86a7-41e0-ae64-7fa1be4ba6a2", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_leds", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1320, + "y": 168 + } + }, + { + "id": "0238b62b-9081-41a4-9d00-dd0adb8ebc77", + "type": "basic.output", + "data": { + "name": "csio_leds", + "virtual": false + }, + "position": { + "x": 1464, + "y": 168 + } + }, + { + "id": "318d6ea8-4d7e-458a-a804-f54ae31c24ca", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 176, + "y": 216 + } + }, + { + "id": "a8a406e9-0593-4611-b64b-3e10c4337cc1", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 904, + "y": 232 + } + }, + { + "id": "5c1f4098-b8ce-49d3-a9e9-4ef18db15c81", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_btn", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1320, + "y": 256 + } + }, + { + "id": "a181ebec-909f-40b7-9a88-d4ed1b48018f", + "type": "basic.output", + "data": { + "name": "csio_btn", + "virtual": false + }, + "position": { + "x": 1464, + "y": 256 + } + }, + { + "id": "f32f8e07-66b7-41a2-8f43-2bbfde6d9e09", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_io", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 376 + } + }, + { + "id": "b12bf2c4-536d-4788-ba0f-b00c3724bfe7", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_io", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 584, + "y": 728 + } + }, + { + "id": "df17e72d-7e2d-4156-ba1f-baab80bdd0a5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_btn", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 744 + } + }, + { + "id": "76cf263b-6269-439b-b153-ebc5f2618d7e", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "addr", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 896 + } + }, + { + "id": "864b76e5-4c4d-4a49-9df3-f0da3e808031", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "csio_leds", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1120, + "y": 896 + } + }, + { + "id": "c66d26cd-a3ee-49ce-a414-57b791e0d44f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_io", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 848, + "y": 968 + } + }, + { + "id": "138ad454-2d72-4f7f-9507-bdb3664effe3", + "type": "basic.constant", + "data": { + "name": "", + "value": "5'h1F", + "local": false + }, + "position": { + "x": 568, + "y": 368 + } + }, + { + "id": "ea1ac43f-89cf-4149-ad88-ba05286abbe2", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 816, + "y": 880 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0bd08339-fa81-4a12-bc6c-363e310a5af0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 984, + "y": 896 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b3ce18a1-2093-4f7a-903e-347b70de6d3f", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 760, + "y": 744 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6ccf21e7-d9a3-4862-bd88-1f389740634a", + "type": "9a279550d6cd93b170311188e39bffc553a4f512", + "position": { + "x": 624, + "y": 896 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "3856b10f-bb13-408d-a354-3c3ce5267948", + "type": "a7b8326d6f9cddcf2ded4fea6369b7755acdae68", + "position": { + "x": 336, + "y": 216 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0104e671-86dd-477c-828a-42fe9e08bfa5", + "type": "basic.info", + "data": { + "info": "No usados", + "readonly": true + }, + "position": { + "x": 424, + "y": 176 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "03c8df27-d025-499b-a4f9-776bdc281269", + "type": "basic.info", + "data": { + "info": "15 bits de menor peso de \nla direccion", + "readonly": true + }, + "position": { + "x": 536, + "y": 200 + }, + "size": { + "width": 320, + "height": 48 + } + }, + { + "id": "5ae74b1f-21f1-4bc4-90d2-9716f0cea99e", + "type": "ef0f91fae45bc49934700d46e31e286faf807d58", + "position": { + "x": 512, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4855837f-ed66-46b3-ad09-cd41250b8840", + "type": "1cc648d06761e60aeff9137f7e10f106cee7c0fa", + "position": { + "x": 760, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "855f4821-8dc7-4895-85e2-84c17a93b944", + "type": "108a6d9164cb62a9eb0c810a298cb6791a6b78d5", + "position": { + "x": 584, + "y": 104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "896af099-b0e1-4456-b35c-74e746836786", + "type": "basic.info", + "data": { + "info": "Bits a14-a10 \na 0", + "readonly": true + }, + "position": { + "x": 880, + "y": 184 + }, + "size": { + "width": 136, + "height": 56 + } + }, + { + "id": "ce31cb91-6040-46fa-b14e-bc3b3824915a", + "type": "1cc648d06761e60aeff9137f7e10f106cee7c0fa", + "position": { + "x": 760, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "86d228da-f1fa-4133-8b42-995d5db5f2d3", + "type": "3693fc9b584708d90c97b2006ba4b876c069bfbb", + "position": { + "x": 568, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "60f149d3-d370-4db0-88e1-629b6fc6d6b7", + "type": "basic.info", + "data": { + "info": "Bits a14-a10 \na 1", + "readonly": true + }, + "position": { + "x": 880, + "y": 328 + }, + "size": { + "width": 136, + "height": 56 + } + }, + { + "id": "96ced7fe-aa91-44ab-8a99-97cda3f11899", + "type": "e500dfad6d2897d590c9ecad52247597201ebca0", + "position": { + "x": 432, + "y": 896 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f9fb07a4-a27a-4500-b1c7-6a4fc26e3b78", + "type": "basic.info", + "data": { + "info": "Bit a2:\n * a2=1: Botones\n * a2=0: Leds", + "readonly": true + }, + "position": { + "x": 1008, + "y": 992 + }, + "size": { + "width": 176, + "height": 72 + } + }, + { + "id": "41611036-a51a-4758-ab99-fc0258c5b537", + "type": "basic.info", + "data": { + "info": "## Logica Seleccion de bloque\n\nAcceso a Memoria ROM o \nacceso a puertos IO", + "readonly": true + }, + "position": { + "x": 152, + "y": 24 + }, + "size": { + "width": 304, + "height": 88 + } + }, + { + "id": "c73bb358-84b0-486c-846b-9c9b1a71088d", + "type": "basic.info", + "data": { + "info": "## Logica Seleccion de Puerto IO\n\nAcceso a Puerto entrada (botones) o \nacceso a puerto salida (LEDs)\n", + "readonly": true + }, + "position": { + "x": 176, + "y": 592 + }, + "size": { + "width": 336, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "0bd08339-fa81-4a12-bc6c-363e310a5af0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "864b76e5-4c4d-4a49-9df3-f0da3e808031", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "c66d26cd-a3ee-49ce-a414-57b791e0d44f", + "port": "outlabel" + }, + "target": { + "block": "0bd08339-fa81-4a12-bc6c-363e310a5af0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "b3ce18a1-2093-4f7a-903e-347b70de6d3f", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "df17e72d-7e2d-4156-ba1f-baab80bdd0a5", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b12bf2c4-536d-4788-ba0f-b00c3724bfe7", + "port": "outlabel" + }, + "target": { + "block": "b3ce18a1-2093-4f7a-903e-347b70de6d3f", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "318d6ea8-4d7e-458a-a804-f54ae31c24ca", + "port": "outlabel" + }, + "target": { + "block": "3856b10f-bb13-408d-a354-3c3ce5267948", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "4855837f-ed66-46b3-ad09-cd41250b8840", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "a8a406e9-0593-4611-b64b-3e10c4337cc1", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "ce31cb91-6040-46fa-b14e-bc3b3824915a", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "f32f8e07-66b7-41a2-8f43-2bbfde6d9e09", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "76cf263b-6269-439b-b153-ebc5f2618d7e", + "port": "outlabel" + }, + "target": { + "block": "96ced7fe-aa91-44ab-8a99-97cda3f11899", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "7c22780e-f97f-416a-b0b7-acad705d4845", + "port": "out", + "size": 32 + }, + "target": { + "block": "a58ee4e7-860f-4538-9dbf-758d666fa78b", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "6699189a-f1e5-4de9-9df9-8073b4da88a0", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "192d9c04-86a7-41e0-ae64-7fa1be4ba6a2", + "port": "outlabel" + }, + "target": { + "block": "0238b62b-9081-41a4-9d00-dd0adb8ebc77", + "port": "in" + } + }, + { + "source": { + "block": "5c1f4098-b8ce-49d3-a9e9-4ef18db15c81", + "port": "outlabel" + }, + "target": { + "block": "a181ebec-909f-40b7-9a88-d4ed1b48018f", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "ea1ac43f-89cf-4149-ad88-ba05286abbe2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0bd08339-fa81-4a12-bc6c-363e310a5af0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "6ccf21e7-d9a3-4862-bd88-1f389740634a", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ea1ac43f-89cf-4149-ad88-ba05286abbe2", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "6ccf21e7-d9a3-4862-bd88-1f389740634a", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "b3ce18a1-2093-4f7a-903e-347b70de6d3f", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "3856b10f-bb13-408d-a354-3c3ce5267948", + "port": "c8568f11-371c-4982-9cf4-2c1d9772cf80" + }, + "target": { + "block": "5ae74b1f-21f1-4bc4-90d2-9716f0cea99e", + "port": "6239cd03-86a8-4118-ac70-649707c58467" + }, + "vertices": [ + { + "x": 464, + "y": 280 + } + ], + "size": 15 + }, + { + "source": { + "block": "5ae74b1f-21f1-4bc4-90d2-9716f0cea99e", + "port": "ab733484-59d2-4d71-9544-5561915c6900" + }, + "target": { + "block": "4855837f-ed66-46b3-ad09-cd41250b8840", + "port": "543563d8-e407-491e-bd53-e61ba7b1806a" + }, + "vertices": [], + "size": 5 + }, + { + "source": { + "block": "855f4821-8dc7-4895-85e2-84c17a93b944", + "port": "98e81f4b-d4eb-46c1-8750-25f681a5f2cf" + }, + "target": { + "block": "4855837f-ed66-46b3-ad09-cd41250b8840", + "port": "4c0df801-d9ea-4f4a-9b4c-4e9616fa9bbf" + }, + "vertices": [], + "size": 5 + }, + { + "source": { + "block": "5ae74b1f-21f1-4bc4-90d2-9716f0cea99e", + "port": "ab733484-59d2-4d71-9544-5561915c6900" + }, + "target": { + "block": "ce31cb91-6040-46fa-b14e-bc3b3824915a", + "port": "4c0df801-d9ea-4f4a-9b4c-4e9616fa9bbf" + }, + "vertices": [ + { + "x": 696, + "y": 312 + } + ], + "size": 5 + }, + { + "source": { + "block": "86d228da-f1fa-4133-8b42-995d5db5f2d3", + "port": "a7d148e6-3b95-44f3-b6d9-949c71147bbc" + }, + "target": { + "block": "ce31cb91-6040-46fa-b14e-bc3b3824915a", + "port": "543563d8-e407-491e-bd53-e61ba7b1806a" + }, + "vertices": [], + "size": 5 + }, + { + "source": { + "block": "138ad454-2d72-4f7f-9507-bdb3664effe3", + "port": "constant-out" + }, + "target": { + "block": "86d228da-f1fa-4133-8b42-995d5db5f2d3", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] + }, + { + "source": { + "block": "96ced7fe-aa91-44ab-8a99-97cda3f11899", + "port": "3f3bb633-fafa-4a24-8b1f-845e3a0ac1c3" + }, + "target": { + "block": "6ccf21e7-d9a3-4862-bd88-1f389740634a", + "port": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca" + }, + "vertices": [], + "size": 3 + } + ] + } + } + }, + "3676a00f3a70e406487ed14b901daf3e4984e63d": { + "package": { + "name": "NOT", + "version": "2.0", + "description": "NOT gate (Verilog implementation)", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.651%22%20height=%22194.058%22%20version=%221%22%3E%3Cpath%20d=%22M69.246%204l161.86%2093.027-161.86%2093.031V4z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22253.352%22%20cy=%2296.736%22%20rx=%2221.393%22%20ry=%2221.893%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2097.49h65.262m205.796%200h38.48%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2281.112%22%20y=%22111.734%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-size=%2249.675%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2281.112%22%20y=%22111.734%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3ENot%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 72 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "type": "basic.code", + "data": { + "code": "//-- NOT Gate\nassign q = ~a;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 256, + "height": 104 + } + }, + { + "id": "e3bb41e3-1944-4946-9675-c2dbe2e49fcf", + "type": "basic.info", + "data": { + "info": "Input", + "readonly": true + }, + "position": { + "x": 128, + "y": 32 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "8408dd5f-945f-4a89-9790-7752813d4e91", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 576, + "y": 40 + }, + "size": { + "width": 80, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "a" + } + }, + { + "source": { + "block": "5365ed8c-e5db-4445-938f-8d689830ea5c", + "port": "q" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0": { + "package": { + "name": "AND2", + "version": "1.0.2", + "description": "Two bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 600, + "y": 96 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- AND gate\n//-- Verilog implementation\n\nassign c = a & b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 304, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "9a279550d6cd93b170311188e39bffc553a4f512": { + "package": { + "name": "Bus3-Split-all", + "version": "0.1", + "description": "Bus3-Split-all: Split the 3-bits bus into three wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 552, + "y": 112 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 552, + "y": 184 + } + }, + { + "id": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "type": "basic.input", + "data": { + "name": "", + "range": "[2:0]", + "clock": false, + "size": 3 + }, + "position": { + "x": 144, + "y": 184 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 552, + "y": 248 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[2:0]", + "size": 3 + } + ], + "out": [ + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "2772ef22-9ad6-45c8-ae1a-2fcb655b1eca", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 3 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + } + ] + } + } + }, + "a7b8326d6f9cddcf2ded4fea6369b7755acdae68": { + "package": { + "name": "Bus32-Split-17-15", + "version": "0.1", + "description": "Bus32-Split-17-15: Split the 32-bits bus into two buses of 17 and 15 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "131550c8-ebc8-4a4f-b9b4-a2b876b20f68", + "type": "basic.output", + "data": { + "name": "1", + "range": "[16:0]", + "size": 17 + }, + "position": { + "x": 592, + "y": 64 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "c8568f11-371c-4982-9cf4-2c1d9772cf80", + "type": "basic.output", + "data": { + "name": "0", + "range": "[14:0]", + "size": 15 + }, + "position": { + "x": 600, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:15];\nassign o0 = i[14:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[16:0]", + "size": 17 + }, + { + "name": "o0", + "range": "[14:0]", + "size": 15 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "c8568f11-371c-4982-9cf4-2c1d9772cf80", + "port": "in" + }, + "size": 15 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "131550c8-ebc8-4a4f-b9b4-a2b876b20f68", + "port": "in" + }, + "size": 17 + } + ] + } + } + }, + "ef0f91fae45bc49934700d46e31e286faf807d58": { + "package": { + "name": "Bus15-Split-7-8 CLONE", + "version": "0.1-c1637842542575", + "description": "Bus15-Split-7-8: Split the 15-bits bus into two buses of 7 and 8 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ab733484-59d2-4d71-9544-5561915c6900", + "type": "basic.output", + "data": { + "name": "1", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 608, + "y": 176 + } + }, + { + "id": "6239cd03-86a8-4118-ac70-649707c58467", + "type": "basic.input", + "data": { + "name": "", + "range": "[14:0]", + "clock": false, + "size": 15 + }, + "position": { + "x": 104, + "y": 208 + } + }, + { + "id": "e1012d9f-b434-4ca9-a77e-3c766d120131", + "type": "basic.output", + "data": { + "name": "0", + "range": "[9:0]", + "size": 10 + }, + "position": { + "x": 600, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[14:10];\nassign o0 = i[9:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[14:0]", + "size": 15 + } + ], + "out": [ + { + "name": "o1", + "range": "[4:0]", + "size": 5 + }, + { + "name": "o0", + "range": "[9:0]", + "size": 10 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "6239cd03-86a8-4118-ac70-649707c58467", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 15 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "e1012d9f-b434-4ca9-a77e-3c766d120131", + "port": "in" + }, + "size": 10 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "ab733484-59d2-4d71-9544-5561915c6900", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "1cc648d06761e60aeff9137f7e10f106cee7c0fa": { + "package": { + "name": "comp2-5bits", + "version": "0.1", + "description": "Comp2-5bit: Comparator of two 5-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 696, + "y": 0 + } + }, + { + "id": "4c0df801-d9ea-4f4a-9b4c-4e9616fa9bbf", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 368, + "y": 56 + } + }, + { + "id": "779b44f4-c1dd-479c-8fc3-fce1c1ba8d03", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 696, + "y": 72 + } + }, + { + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1" + }, + "position": { + "x": 888, + "y": 96 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1408, + "y": 168 + } + }, + { + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 888, + "y": 168 + } + }, + { + "id": "ac2ecc0a-3e9b-4845-a180-e65bb628cfa9", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 888, + "y": 264 + } + }, + { + "id": "b0d3a80f-c569-4eea-82c9-7b8edc436870", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 888, + "y": 336 + } + }, + { + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 688, + "y": 376 + } + }, + { + "id": "543563d8-e407-491e-bd53-e61ba7b1806a", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 352, + "y": 432 + } + }, + { + "id": "a67d9666-98ba-40d6-b2f5-9b871f7164e5", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "deeppink" + }, + "position": { + "x": 688, + "y": 448 + } + }, + { + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1040, + "y": 152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, + "position": { + "x": 408, + "y": 32 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, + "position": { + "x": 392, + "y": 408 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fced529c-7c1f-411f-a997-220f2f7f24e3", + "type": "91f34c6a4c46f3eb4479d6090ae1d29c05788ace", + "position": { + "x": 512, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e01e47a5-32d5-4a29-8f73-3e988388a53a", + "type": "91f34c6a4c46f3eb4479d6090ae1d29c05788ace", + "position": { + "x": 528, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "type": "438230b563d82c3a000686cd1030f4bfa85c5cc0", + "position": { + "x": 1040, + "y": 320 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1264, + "y": 168 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + } + }, + { + "source": { + "block": "fced529c-7c1f-411f-a997-220f2f7f24e3", + "port": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "size": 4 + }, + "target": { + "block": "a67d9666-98ba-40d6-b2f5-9b871f7164e5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "fced529c-7c1f-411f-a997-220f2f7f24e3", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "port": "inlabel" + } + }, + { + "source": { + "block": "e01e47a5-32d5-4a29-8f73-3e988388a53a", + "port": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "size": 4 + }, + "target": { + "block": "779b44f4-c1dd-479c-8fc3-fce1c1ba8d03", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "e01e47a5-32d5-4a29-8f73-3e988388a53a", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" + } + }, + { + "source": { + "block": "b0d3a80f-c569-4eea-82c9-7b8edc436870", + "port": "outlabel" + }, + "target": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "ac2ecc0a-3e9b-4845-a180-e65bb628cfa9", + "port": "outlabel" + }, + "target": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "543563d8-e407-491e-bd53-e61ba7b1806a", + "port": "out" + }, + "target": { + "block": "fced529c-7c1f-411f-a997-220f2f7f24e3", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "4c0df801-d9ea-4f4a-9b4c-4e9616fa9bbf", + "port": "out" + }, + "target": { + "block": "e01e47a5-32d5-4a29-8f73-3e988388a53a", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d9e92ad2-16c1-40b0-8e05-ecbd39a75142", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "a6e4a9ab-5dfe-45c1-8cd9-3972a43cf7ce", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "23b15b7d7a1bc7327fad365412864b15e4edf835": { + "package": { + "name": "comp2-1bit", + "version": "0.1", + "description": "Comp2-1bit: Comparator of two 1-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 368, + "y": 280 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 832, + "y": 296 + } + }, + { + "id": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 368, + "y": 344 + } + }, + { + "id": "8839b104-b532-421e-a2da-a1272176ff9c", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 544, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dffad53f-6e57-4c33-8436-42e238b64966", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 680, + "y": 296 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dffad53f-6e57-4c33-8436-42e238b64966", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "b1eb7708-a6a0-424d-bc2f-fab922974446", + "port": "out" + }, + "target": { + "block": "8839b104-b532-421e-a2da-a1272176ff9c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "d1240143e1ff7afe57f0f11565da980612b2bb76": { + "package": { + "name": "XOR2", + "version": "1.0.2", + "description": "XOR gate: two bits input xor gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 48 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 560, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 120, + "y": 104 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- XOR gate\n//-- Verilog implementation\n\nassign c = a ^ b;\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 272, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "91f34c6a4c46f3eb4479d6090ae1d29c05788ace": { + "package": { + "name": "Bus5-Split-1-4", + "version": "0.1", + "description": "Bus5-Split-1-4: Split the 5-bits bus into two buses of 1 and 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7ba97544-4615-4db7-a554-5b1ea6237871", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 576, + "y": 152 + } + }, + { + "id": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 128, + "y": 208 + } + }, + { + "id": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 576, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[4];\nassign o0 = i[3:0];\n", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 5 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "7ba97544-4615-4db7-a554-5b1ea6237871", + "port": "in" + } + } + ] + } + } + }, + "438230b563d82c3a000686cd1030f4bfa85c5cc0": { + "package": { + "name": "comp2-4bits", + "version": "0.1", + "description": "Comp2-4bit: Comparator of two 4-bit numbers", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22312.756%22%20height=%22168.82%22%20viewBox=%220%200%20293.20803%20158.26888%22%3E%3Cg%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20font-family=%22sans-serif%22%20stroke-width=%22.057%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M0%200h293.209v53.747H-.001zm0%20104.064h293.209v54.205H-.001z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2296.3%22%20fill=%22#00f%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1618594740929 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8d9e1163-514b-4843-b376-7d2d6b44c982", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": -160 + } + }, + { + "id": "a8c3b8e1-f3f5-4383-acf3-9a3a02b36983", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 904, + "y": -88 + } + }, + { + "id": "a1ed3ef4-e069-4db5-b0cb-13fd1a9ba914", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": -32 + } + }, + { + "id": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 0 + } + }, + { + "id": "7979c265-4d7d-4368-b94a-3028a47786ed", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": 32 + } + }, + { + "id": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 360, + "y": 48 + } + }, + { + "id": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 904, + "y": 72 + } + }, + { + "id": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 704, + "y": 88 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1464, + "y": 136 + } + }, + { + "id": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 696, + "y": 144 + } + }, + { + "id": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a1" + }, + "position": { + "x": 904, + "y": 176 + } + }, + { + "id": "e6731ce7-9973-4f75-98e3-402603db9bdb", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 696, + "y": 232 + } + }, + { + "id": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 248 + } + }, + { + "id": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 688, + "y": 312 + } + }, + { + "id": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 368, + "y": 328 + } + }, + { + "id": "9eab6815-4005-453c-8f24-68951cced197", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 344 + } + }, + { + "id": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 688, + "y": 376 + } + }, + { + "id": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 904, + "y": 416 + } + }, + { + "id": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 672, + "y": 448 + } + }, + { + "id": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 400 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "60f06ba4-1549-43a9-9104-27d82904430d", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2df886b0-4697-49ce-a8dd-2ffa9ede33a7", + "type": "basic.info", + "data": { + "info": "A", + "readonly": true + }, + "position": { + "x": 408, + "y": 32 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "fa5825ce-289b-4004-84f5-12ee322cae15", + "type": "basic.info", + "data": { + "info": "B", + "readonly": true + }, + "position": { + "x": 408, + "y": 304 + }, + "size": { + "width": 80, + "height": 32 + } + }, + { + "id": "398207eb-18f3-43a4-b4e5-481480023848", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 528, + "y": 16 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 528, + "y": 296 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "type": "23b15b7d7a1bc7327fad365412864b15e4edf835", + "position": { + "x": 1056, + "y": -104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "67416698-29e8-4490-beed-0d317a4363e8", + "type": "effd4240644b745e4d10082904b7e4fd01079074", + "position": { + "x": 1320, + "y": 104 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "1f5e56e7-4cd3-475b-83f3-1dc18426d519", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "25f65bf2-2b31-4d76-b9bd-84ff1b998937", + "port": "inlabel" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "2c9f3256-fa6d-417a-a97c-1739cf8e7de4", + "port": "inlabel" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "8923c254-dcbe-45a5-8b41-d331eb8d7447", + "port": "inlabel" + } + }, + { + "source": { + "block": "a0a4c11b-a761-4d55-b015-960f4f9b6b7a", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "6dcb1d08-9555-4083-8290-e9223f9e7c91", + "port": "outlabel" + }, + "target": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + } + }, + { + "source": { + "block": "9eab6815-4005-453c-8f24-68951cced197", + "port": "outlabel" + }, + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "999ddefc-fc54-4ed2-8382-f2ebc7681344", + "port": "outlabel" + }, + "target": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + } + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "7fc635b1-cee0-4069-adf6-6e95c0f4ee11", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "7979c265-4d7d-4368-b94a-3028a47786ed", + "port": "inlabel" + } + }, + { + "source": { + "block": "45c3d2b2-e136-4bca-b556-b2501f1a8482", + "port": "outlabel" + }, + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "b4e1aae5-0fbb-4125-bc5a-456e317fa412", + "port": "outlabel" + }, + "target": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + }, + "vertices": [] + }, + { + "source": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "e6731ce7-9973-4f75-98e3-402603db9bdb", + "port": "inlabel" + } + }, + { + "source": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "a1ed3ef4-e069-4db5-b0cb-13fd1a9ba914", + "port": "inlabel" + } + }, + { + "source": { + "block": "8d9e1163-514b-4843-b376-7d2d6b44c982", + "port": "outlabel" + }, + "target": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "a8c3b8e1-f3f5-4383-acf3-9a3a02b36983", + "port": "outlabel" + }, + "target": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "b1eb7708-a6a0-424d-bc2f-fab922974446" + }, + "vertices": [] + }, + { + "source": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "c8393e7e-9307-4e02-85c5-6ce83d07623c", + "port": "out" + }, + "target": { + "block": "6b26d5c5-22b2-407b-9848-52eee26cf712", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "806f7064-dcd7-48b4-8b61-52a7d96f4c83", + "port": "out" + }, + "target": { + "block": "09a2d839-a3c6-49f3-820b-3a16aa58bcb7", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c4d089d2-0978-4308-a6ab-8de0220ed9a0", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "60f06ba4-1549-43a9-9104-27d82904430d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 1208, + "y": 208 + } + ] + }, + { + "source": { + "block": "398207eb-18f3-43a4-b4e5-481480023848", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "8a2e42bb-ed17-409e-a1a3-861b358eac89" + }, + "vertices": [ + { + "x": 1200, + "y": 128 + } + ] + }, + { + "source": { + "block": "ffa87956-5518-42b1-ba1e-0994141ed5e9", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "67416698-29e8-4490-beed-0d317a4363e8", + "port": "17810eed-56f8-44b3-8076-d411a5011a8e" + }, + "vertices": [ + { + "x": 1272, + "y": 32 + } + ] + } + ] + } + } + }, + "c4f23ad05c2010ec9bd213c8814c9238873037ae": { + "package": { + "name": "Bus4-Split-all", + "version": "0.1", + "description": "Bus4-Split-all: Split the 4-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 576, + "y": 80 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 592, + "y": 240 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 568, + "y": 296 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "0ebd46a4-769b-45e6-bcaf-e3796264aed3", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 4 + } + ] + } + } + }, + "effd4240644b745e4d10082904b7e4fd01079074": { + "package": { + "name": "AND4", + "version": "1.0.2", + "description": "Three bits input And gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "17810eed-56f8-44b3-8076-d411a5011a8e", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 104, + "y": -128 + } + }, + { + "id": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 104, + "y": -40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 584, + "y": -16 + } + }, + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 56 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 96, + "y": 128 + } + }, + { + "id": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 280, + "y": 72 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 272, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 432, + "y": -16 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "17810eed-56f8-44b3-8076-d411a5011a8e", + "port": "out" + }, + "target": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "8a2e42bb-ed17-409e-a1a3-861b358eac89", + "port": "out" + }, + "target": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + }, + { + "source": { + "block": "0a2ec5ef-f237-4895-acf8-245b5102cafe", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "1174ea8c-b44a-49a3-9fdd-23fcfa814380", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec5c0b57-d5bb-4795-8e19-67c71d367786", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "108a6d9164cb62a9eb0c810a298cb6791a6b78d5": { + "package": { + "name": "5bits-Value_0", + "version": "0.0.1", + "description": "5bits constant value: 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22153.645%22%20height=%22230.616%22%20viewBox=%220%200%20144.04211%20216.20273%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M72.021%2022.332q-21.774%200-32.8%2021.495-10.887%2021.355-10.887%2064.344%200%2042.85%2010.887%2064.345%2011.026%2021.355%2032.8%2021.355%2021.913%200%2032.8-21.355%2011.027-21.495%2011.027-64.345%200-42.99-11.027-64.344-10.887-21.495-32.8-21.495zm0-22.332q35.034%200%2053.458%2027.776%2018.563%2027.636%2018.563%2080.395%200%2052.62-18.563%2080.396-18.424%2027.636-53.458%2027.636-35.033%200-53.597-27.636Q0%20160.79%200%20108.17q0-52.76%2018.424-80.395Q36.988%200%2072.021%200z%22%20style=%22line-height:1.25%22%20font-size=%22285.851%22%20font-family=%22sans-serif%22%20stroke-width=%227.146%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "98e81f4b-d4eb-46c1-8750-25f681a5f2cf", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 896, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "34f2831e-ce09-4c54-9bd8-670de5c95e73", + "type": "3693fc9b584708d90c97b2006ba4b876c069bfbb", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "34f2831e-ce09-4c54-9bd8-670de5c95e73", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "34f2831e-ce09-4c54-9bd8-670de5c95e73", + "port": "a7d148e6-3b95-44f3-b6d9-949c71147bbc" + }, + "target": { + "block": "98e81f4b-d4eb-46c1-8750-25f681a5f2cf", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "3693fc9b584708d90c97b2006ba4b876c069bfbb": { + "package": { + "name": "5-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 5-bits generic constant (0-31)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a7d148e6-3b95-44f3-b6d9-949c71147bbc", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 952, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "a7d148e6-3b95-44f3-b6d9-949c71147bbc", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "e500dfad6d2897d590c9ecad52247597201ebca0": { + "package": { + "name": "Bus32-Split-29-3", + "version": "0.1", + "description": "Bus32-Split-29-3: Split the 29-bits bus into two buses of 29 and 3 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "0f9d33d7-b1d2-42ff-bcfc-06a634c4ffbf", + "type": "basic.output", + "data": { + "name": "1", + "range": "[28:0]", + "size": 29 + }, + "position": { + "x": 616, + "y": 128 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 72, + "y": 208 + } + }, + { + "id": "3f3bb633-fafa-4a24-8b1f-845e3a0ac1c3", + "type": "basic.output", + "data": { + "name": "0", + "range": "[2:0]", + "size": 3 + }, + "position": { + "x": 616, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[31:3];\nassign o0 = i[2:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o1", + "range": "[28:0]", + "size": 29 + }, + { + "name": "o0", + "range": "[2:0]", + "size": 3 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "3f3bb633-fafa-4a24-8b1f-845e3a0ac1c3", + "port": "in" + }, + "size": 3 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "0f9d33d7-b1d2-42ff-bcfc-06a634c4ffbf", + "port": "in" + }, + "size": 29 + } + ] + } + } + }, + "f6866119e3bb7215f80946b1be6da33807267619": { + "package": { + "name": "Generic-comp-clk", + "version": "0.1", + "description": "Generic component with clk input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%2032%2032%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M16%206.958a1%201%200%200%201-1-1V2.042a1%201%200%200%201%202%200v3.917a1%201%200%200%201-1%201zM11%207a1%201%200%200%201-1-1V2a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%207a1%201%200%200%201-1-1V2a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201zM16%2030.959a1%201%200%200%201-1-1v-3.917a1%201%200%200%201%202%200v3.916a1%201%200%200%201-1%201zM11%2031a1%201%200%200%201-1-1v-4a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%2031a1%201%200%200%201-1-1v-4a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201z%22%20fill=%22#4391B2%22/%3E%3Cg%3E%3Cpath%20d=%22M5.958%2017H2.042a1%201%200%200%201%200-2h3.917a1%201%200%200%201%200%202zM6%2022H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM6%2012H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM29.958%2017h-3.916a1%201%200%201%201%200-2h3.916a1%201%200%201%201%200%202zM30%2022h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202zM30%2012h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202z%22%20fill=%22#4391B2%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M27%206v20c0%20.55-.45%201-1%201H6c-.55%200-1-.45-1-1V6c0-.55.45-1%201-1h20c.55%200%201%20.45%201%201z%22%20fill=%22#48B1DD%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M23%2012v8c0%201.65-1.35%203-3%203h-8c-1.65%200-3-1.35-3-3v-8c0-1.65%201.35-3%203-3h8c1.65%200%203%201.35%203%203z%22%20fill=%22#96CEE5%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1637855196723 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -184 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 360, + "y": -184 + } + }, + { + "id": "e905b99c-b765-40dd-bb26-0fa197007b0c", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 360, + "y": -112 + } + }, + { + "id": "0a393d92-f512-4078-ad64-c882c59e38d0", + "type": "basic.input", + "data": { + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 216, + "y": -112 + } + }, + { + "id": "79789ef1-b464-4245-99f5-749c580733a1", + "type": "basic.inputLabel", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 360, + "y": -40 + } + }, + { + "id": "cff45cf5-b66d-4098-8a56-b5a08e502d42", + "type": "basic.input", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 216, + "y": -40 + } + }, + { + "id": "b6784552-988f-4342-9c40-8f0a54a3952b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 360, + "y": 40 + } + }, + { + "id": "4afdf624-05f1-40c9-8477-8b318920d206", + "type": "basic.input", + "data": { + "name": "cs", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 216, + "y": 40 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 968, + "y": 152 + } + }, + { + "id": "3ae5223c-ee8e-4115-941b-b5559cefa951", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "wdata", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 576, + "y": 168 + } + }, + { + "id": "40974685-1c85-43eb-adbe-623bf9790096", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1312, + "y": 216 + } + }, + { + "id": "85719fac-7ec1-49a4-a945-43b28fd408aa", + "type": "basic.outputLabel", + "data": { + "name": "wmask", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 504, + "y": 288 + } + }, + { + "id": "15d0bafa-0b5e-4d6c-8974-a755852b99fc", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 672, + "y": 384 + } + }, + { + "id": "23ecc542-8af4-427e-abe2-3c9bb1853fa2", + "type": "f61fa395e769749e484687e9616b2a92582b0fc9", + "position": { + "x": 664, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "04f0a2e4-8dcb-4619-a1bd-1072ba3b21c9", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 832, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5d9657a4-d1d2-4cf6-b1a0-889fdd65eb0f", + "type": "468a0568931bf0e98f0069778cf0f1b58849922a", + "position": { + "x": 776, + "y": 136 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "0f9d22a2-8449-47ed-8188-28b13676afd0", + "type": "857d2eb32ca5c8d6febf39be6bb15e7fd8848bbd", + "position": { + "x": 1144, + "y": 200 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "85719fac-7ec1-49a4-a945-43b28fd408aa", + "port": "outlabel" + }, + "target": { + "block": "23ecc542-8af4-427e-abe2-3c9bb1853fa2", + "port": "caed3d45-c5da-4de7-ad77-67718655b715", + "size": 4 + }, + "vertices": [], + "size": 4 + }, + { + "source": { + "block": "15d0bafa-0b5e-4d6c-8974-a755852b99fc", + "port": "outlabel" + }, + "target": { + "block": "04f0a2e4-8dcb-4619-a1bd-1072ba3b21c9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "3ae5223c-ee8e-4115-941b-b5559cefa951", + "port": "outlabel" + }, + "target": { + "block": "5d9657a4-d1d2-4cf6-b1a0-889fdd65eb0f", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "0f9d22a2-8449-47ed-8188-28b13676afd0", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "0a393d92-f512-4078-ad64-c882c59e38d0", + "port": "out", + "size": 32 + }, + "target": { + "block": "e905b99c-b765-40dd-bb26-0fa197007b0c", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "cff45cf5-b66d-4098-8a56-b5a08e502d42", + "port": "out", + "size": 4 + }, + "target": { + "block": "79789ef1-b464-4245-99f5-749c580733a1", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "4afdf624-05f1-40c9-8477-8b318920d206", + "port": "out" + }, + "target": { + "block": "b6784552-988f-4342-9c40-8f0a54a3952b", + "port": "inlabel" + } + }, + { + "source": { + "block": "23ecc542-8af4-427e-abe2-3c9bb1853fa2", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "04f0a2e4-8dcb-4619-a1bd-1072ba3b21c9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "5d9657a4-d1d2-4cf6-b1a0-889fdd65eb0f", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2" + }, + "target": { + "block": "0f9d22a2-8449-47ed-8188-28b13676afd0", + "port": "068619e3-63e0-40f4-9146-b5e6ec447362" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "04f0a2e4-8dcb-4619-a1bd-1072ba3b21c9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0f9d22a2-8449-47ed-8188-28b13676afd0", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + }, + "vertices": [] + }, + { + "source": { + "block": "0f9d22a2-8449-47ed-8188-28b13676afd0", + "port": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5" + }, + "target": { + "block": "40974685-1c85-43eb-adbe-623bf9790096", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "f61fa395e769749e484687e9616b2a92582b0fc9": { + "package": { + "name": "OR-BUS4", + "version": "1.0.2", + "description": "OR-BUS4: OR gate with 4-bits bus input", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 584, + "y": 24 + } + }, + { + "id": "caed3d45-c5da-4de7-ad77-67718655b715", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 88, + "y": 24 + } + }, + { + "id": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 264, + "y": -8 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "type": "f493210a5e75bbae25a3a31acce0d182c13c2410", + "position": { + "x": 440, + "y": -8 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + }, + { + "source": { + "block": "caed3d45-c5da-4de7-ad77-67718655b715", + "port": "out" + }, + "target": { + "block": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "port": "aad69f2f-a80a-434b-ab58-1ec5d075ddd3" + } + }, + { + "source": { + "block": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "cf80715e-9201-40a5-a9d8-23e0e6e2e127", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "1093bd5f-96e7-47f8-a726-6a1f611ef432", + "port": "43f513f6-af61-44b1-8d84-7544a993cc52" + } + } + ] + } + } + }, + "f493210a5e75bbae25a3a31acce0d182c13c2410": { + "package": { + "name": "OR4", + "version": "1.0.2", + "description": "OR4: Four bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "aad69f2f-a80a-434b-ab58-1ec5d075ddd3", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": -24 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 840, + "y": 24 + } + }, + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "43f513f6-af61-44b1-8d84-7544a993cc52", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 160 + } + }, + { + "id": "bcfdb574-deb0-4936-a07a-1cf021bf2e77", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 296, + "y": -8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2c02ad6e-f08b-4512-b2d4-21f66e4caba5", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 504, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d2aa9244-4f2f-491e-a5b1-33ed2b26455a", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 680, + "y": 24 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "aad69f2f-a80a-434b-ab58-1ec5d075ddd3", + "port": "out" + }, + "target": { + "block": "bcfdb574-deb0-4936-a07a-1cf021bf2e77", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "bcfdb574-deb0-4936-a07a-1cf021bf2e77", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "bcfdb574-deb0-4936-a07a-1cf021bf2e77", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "2c02ad6e-f08b-4512-b2d4-21f66e4caba5", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "2c02ad6e-f08b-4512-b2d4-21f66e4caba5", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "2c02ad6e-f08b-4512-b2d4-21f66e4caba5", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "d2aa9244-4f2f-491e-a5b1-33ed2b26455a", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "d2aa9244-4f2f-491e-a5b1-33ed2b26455a", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + }, + { + "source": { + "block": "43f513f6-af61-44b1-8d84-7544a993cc52", + "port": "out" + }, + "target": { + "block": "d2aa9244-4f2f-491e-a5b1-33ed2b26455a", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + } + ] + } + } + }, + "873425949b2a80f1a7f66f320796bcd068a59889": { + "package": { + "name": "OR2", + "version": "1.0.2", + "description": "OR2: Two bits input OR gate", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22192.718%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20188.718H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294L176.109%204c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.292h99.605M4.883%20145.168h100.981M298.57%2098.89h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20font-weight=%22400%22%20font-size=%2266.317%22%20y=%22121.28%22%20x=%22131.572%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20font-weight=%22700%22%20y=%22121.28%22%20x=%22131.572%22%3EOR%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 40 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 608, + "y": 72 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 112, + "y": 96 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- OR Gate\n//-- Verilog implementation\n\nassign c = a | b;\n\n", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 312, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "468a0568931bf0e98f0069778cf0f1b58849922a": { + "package": { + "name": "Bus32-Split-quarter", + "version": "0.1", + "description": "Bus32-Split-quarter: Split the 32-bits bus into four buses of 8 wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "cd24bb12-b3ea-4587-845c-24eb04db0e7d", + "type": "basic.output", + "data": { + "name": "3", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 96 + } + }, + { + "id": "9854725b-1481-43d8-88b9-7f4e3e46e935", + "type": "basic.output", + "data": { + "name": "2", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 680, + "y": 184 + } + }, + { + "id": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 64, + "y": 248 + } + }, + { + "id": "ab69e2b6-41cb-4145-883c-ff7d66e29c69", + "type": "basic.output", + "data": { + "name": "1", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 672, + "y": 272 + } + }, + { + "id": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "type": "basic.output", + "data": { + "name": "0", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 400 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o3 = i[32:24];\nassign o2 = i[23:16];\nassign o1 = i[15:8];\nassign o0 = i[7:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[31:0]", + "size": 32 + } + ], + "out": [ + { + "name": "o3", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o2", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "o0", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 200 + } + } + ], + "wires": [ + { + "source": { + "block": "5204a9f1-594b-4216-b993-03b46bef9e6e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 32 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "port": "in" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "ab69e2b6-41cb-4145-883c-ff7d66e29c69", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "9854725b-1481-43d8-88b9-7f4e3e46e935", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "cd24bb12-b3ea-4587-845c-24eb04db0e7d", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "857d2eb32ca5c8d6febf39be6bb15e7fd8848bbd": { + "package": { + "name": "Reg-x08", + "version": "0.1", + "description": "Reg-x08: 8-bits register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621769699463 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 256, + "y": -400 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 408, + "y": -400 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": -264 + } + }, + { + "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 616, + "y": -128 + } + }, + { + "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": -40 + } + }, + { + "id": "068619e3-63e0-40f4-9146-b5e6ec447362", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 264, + "y": 8 + } + }, + { + "id": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1104, + "y": 8 + } + }, + { + "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 616, + "y": 104 + } + }, + { + "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 264, + "y": 120 + } + }, + { + "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 120 + } + }, + { + "id": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 408, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 960, + "y": 8 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3100912a-0bf8-4e85-9583-59f67233b74d", + "type": "370cd6171b175b3049b9328737a60e489ac5de14", + "position": { + "x": 752, + "y": -216 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "type": "370cd6171b175b3049b9328737a60e489ac5de14", + "position": { + "x": 752, + "y": 8 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "port": "out" + }, + "target": { + "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "port": "outlabel" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + } + }, + { + "source": { + "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "port": "outlabel" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "port": "outlabel" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "f60bd999-e7b9-4738-ba10-bb3561ca50f2" + } + }, + { + "source": { + "block": "068619e3-63e0-40f4-9146-b5e6ec447362", + "port": "out" + }, + "target": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "2ddab80c-3bef-45cf-93ae-9a43ab7067c5", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58" + }, + "target": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef" + }, + "vertices": [ + { + "x": 576, + "y": -120 + } + ], + "size": 4 + }, + { + "source": { + "block": "3100912a-0bf8-4e85-9583-59f67233b74d", + "port": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0" + }, + "target": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "size": 4 + }, + { + "source": { + "block": "08a7ed9b-3d6a-4049-8e33-1d21e11fdf24", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1" + }, + "target": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef" + }, + "size": 4 + }, + { + "source": { + "block": "a322a348-48a5-4ced-885e-fc3b7882bdc8", + "port": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0" + }, + "target": { + "block": "2f75a78f-ec60-4ef9-919f-4dabfd6f1f6b", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + } + ] + } + } + }, + "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a": { + "package": { + "name": "Bus8-Split-half", + "version": "0.1", + "description": "Bus8-Split-half: Split the 8-bits bus into two buses of the same size", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "637e64f4-0a6b-4037-9a75-89397e078a58", + "type": "basic.output", + "data": { + "name": "1", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 104 + } + }, + { + "id": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "type": "basic.input", + "data": { + "name": "", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 120, + "y": 208 + } + }, + { + "id": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "type": "basic.output", + "data": { + "name": "0", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 584, + "y": 232 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[7:4];\nassign o0 = i[3:0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "o0", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 272, + "y": 176 + }, + "size": { + "width": 240, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "637e64f4-0a6b-4037-9a75-89397e078a58", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 8 + } + ] + } + } + }, + "afb28fd5426aea14477d11cbe30a290679f789f8": { + "package": { + "name": "Bus8-Join-half", + "version": "0.1", + "description": "Bus8-Join-half: Join the two same halves into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a1770adf-e143-4506-9d87-3cb9c870f534", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 168 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 128, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[3:0]", + "size": 4 + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "a1770adf-e143-4506-9d87-3cb9c870f534", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 4 + }, + { + "source": { + "block": "843164ff-f7d8-48b9-bf59-1e0d1135c81f", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "370cd6171b175b3049b9328737a60e489ac5de14": { + "package": { + "name": "Reg-x04", + "version": "0.1", + "description": "Reg-x04: 4-bits register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22286.865%22%20height=%22270.812%22%20viewBox=%220%200%2075.899794%2071.65232%22%3E%3Cpath%20d=%22M43.907%2033.607h10.645%22%20color=%22#000%22%20fill=%22#00f%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-85.712%20-27.533)%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M102.876%20163.95l4.552%2028.037%205.644-28.037%22%20fill=%22#ccc%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3Cpath%20d=%22M99.758%20149.859l2.39-28.512h-9.831v-5.462h31.86v5.462h-9.284l1.724%2028.769s7.509%201.248%209.269%206.48c1.76%205.231-.367%207.286.15%207.36l-36.077-.044s-.817-6.104%202.015-9.194c2.832-3.09%207.784-4.86%207.784-4.86z%22%20fill=%22red%22%20transform=%22matrix(.65581%20-.3791%20.37863%20.6566%20-69.38%20-28.47)%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-25.072)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621769699463 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 256, + "y": -400 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 408, + "y": -400 + } + }, + { + "id": "4813673b-4a0c-4b82-b61e-6b8d9e4e371b", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 656, + "y": -392 + } + }, + { + "id": "37220042-7fe4-4798-9f5c-3bdc78e4814c", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 648, + "y": -240 + } + }, + { + "id": "6af95465-da68-462d-b21c-5e6a608c0ad1", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -152 + } + }, + { + "id": "e1a3118f-2030-44d9-bf26-38b157118f62", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 648, + "y": -24 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 64 + } + }, + { + "id": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 280, + "y": 128 + } + }, + { + "id": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1216, + "y": 128 + } + }, + { + "id": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 320 + } + }, + { + "id": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 288, + "y": 376 + } + }, + { + "id": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 376 + } + }, + { + "id": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "load" + }, + "position": { + "x": 632, + "y": 448 + } + }, + { + "id": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 776, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0a075d74-6834-4674-b242-d234fe3e7957", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 776, + "y": 368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 784, + "y": -96 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2e910ddd-0c05-48b3-a714-a76111311e63", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 432, + "y": 96 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 1024, + "y": 96 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "type": "22cb989122c63147390b94df200e6d0f31e2d967", + "position": { + "x": 792, + "y": -336 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "3ebb991a-05cc-439d-94c4-4d01847a022a", + "port": "outlabel" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "f60bd999-e7b9-4738-ba10-bb3561ca50f2", + "port": "out" + }, + "target": { + "block": "c5222bdd-6dde-498d-8bc1-d22be3d86e9f", + "port": "inlabel" + } + }, + { + "source": { + "block": "5544d7a3-b5fa-4ac5-966b-98ac501965bd", + "port": "outlabel" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "396f5ed1-eacf-4655-b5db-9fe26e82ecd2", + "port": "outlabel" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "e1a3118f-2030-44d9-bf26-38b157118f62", + "port": "outlabel" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "6af95465-da68-462d-b21c-5e6a608c0ad1", + "port": "outlabel" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "4813673b-4a0c-4b82-b61e-6b8d9e4e371b", + "port": "outlabel" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735" + } + }, + { + "source": { + "block": "37220042-7fe4-4798-9f5c-3bdc78e4814c", + "port": "outlabel" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "58d2c88e-2434-438f-986b-0f5b9c3654ca" + } + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 584, + "y": 296 + } + ] + }, + { + "source": { + "block": "6da5d6e8-ae9d-423a-a03f-77c3d65f3cc5", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [] + }, + { + "source": { + "block": "0a075d74-6834-4674-b242-d234fe3e7957", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + }, + "vertices": [] + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 584, + "y": 32 + } + ] + }, + { + "source": { + "block": "0779efb4-975e-47b6-b40d-0d6b36af9a9d", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 912, + "y": 48 + } + ] + }, + { + "source": { + "block": "90e4d92d-4d47-42c5-a2d0-cddbef6c1eef", + "port": "out" + }, + "target": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "4d1d85e4-7d5e-43c4-939a-f5de66cd6ff0", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "2e910ddd-0c05-48b3-a714-a76111311e63", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 560, + "y": -208 + } + ] + }, + { + "source": { + "block": "a3abd59a-bd72-4590-8fcb-4350406d1bc9", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4c81d2a5-e02e-438b-aa25-64eaacaa8220", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "22cb989122c63147390b94df200e6d0f31e2d967": { + "package": { + "name": "1-bit-reg", + "version": "0.1", + "description": "Reg: 1-Bit register", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1594812046378 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 80, + "y": 440 + } + }, + { + "id": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 224, + "y": 440 + } + }, + { + "id": "fdd3edd9-8905-4c54-8364-502df1406563", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 640, + "y": 512 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 80, + "y": 552 + } + }, + { + "id": "f7308710-bc81-45c4-9d5b-333551811cfa", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "data", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 232, + "y": 552 + } + }, + { + "id": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 552 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1296, + "y": 592 + } + }, + { + "id": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1152, + "y": 592 + } + }, + { + "id": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "curr_bit", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 928, + "y": 600 + } + }, + { + "id": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "data", + "oldBlockColor": "darkgreen" + }, + "position": { + "x": 456, + "y": 616 + } + }, + { + "id": "f4898f99-23a3-47f9-9685-a1e967218958", + "type": "basic.inputLabel", + "data": { + "blockColor": "darkgreen", + "name": "load", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deepskyblue" + }, + "position": { + "x": 232, + "y": 632 + } + }, + { + "id": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 80, + "y": 632 + } + }, + { + "id": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "type": "basic.outputLabel", + "data": { + "blockColor": "darkgreen", + "name": "load", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": 688 + } + }, + { + "id": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 784, + "y": 504 + } + }, + { + "id": "6c0b315b-6b22-4d04-9490-a65f04d3fd60", + "type": "basic.info", + "data": { + "info": "Mux 2-1", + "readonly": true + }, + "position": { + "x": 640, + "y": 712 + }, + "size": { + "width": 104, + "height": 40 + } + }, + { + "id": "65bf7f7b-b016-4ef5-a213-a6b522777af0", + "type": "basic.info", + "data": { + "info": "D Flip-flip\n(System)", + "readonly": true + }, + "position": { + "x": 776, + "y": 680 + }, + "size": { + "width": 120, + "height": 48 + } + }, + { + "id": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "type": "a40d2f1701efd21a866b461c33578f4aeac9205c", + "position": { + "x": 616, + "y": 600 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 784, + "y": 600 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "18cac15f-9b61-42a8-8184-afbe07b6bef6", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "d415eca8-29a2-4f41-8aa2-ad6b365b6696", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "df0c1bb1-f8ef-49f3-b71f-9384c29a9735", + "port": "out" + }, + "target": { + "block": "dc139650-fb3f-43a6-8b1f-8288353d3f81", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "fdd3edd9-8905-4c54-8364-502df1406563", + "port": "outlabel" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f7308710-bc81-45c4-9d5b-333551811cfa", + "port": "inlabel" + } + }, + { + "source": { + "block": "58d2c88e-2434-438f-986b-0f5b9c3654ca", + "port": "out" + }, + "target": { + "block": "f4898f99-23a3-47f9-9685-a1e967218958", + "port": "inlabel" + } + }, + { + "source": { + "block": "ce0d3710-3604-4fcc-97cd-cc1c2d00e265", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "3580fc64-0ce0-438e-a139-d8ff092e08a2", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "1f2ba2b9-1bbe-4735-8c42-56b9a49f7df7", + "port": "outlabel" + }, + "target": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 576, + "y": 600 + } + ] + }, + { + "source": { + "block": "dea82159-0aa3-4eb0-a0c1-c77b359e16ff", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "17e4cdf4-3bce-44a9-904c-16c4a66ec3a8", + "port": "constant-out" + }, + "target": { + "block": "ba56fc22-f7c4-4728-9358-9d7a95fc884e", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + } + ] + } + } + }, + "a40d2f1701efd21a866b461c33578f4aeac9205c": { + "package": { + "name": "MuxF-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels). Fippled version", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.311%22%20height=%22157.528%22%20viewBox=%220%200%2075.291719%20147.68326%22%3E%3Cpath%20d=%22M73.885%2036.6c0-12.606-6.943-24.25-18.203-30.524C44.423-.2%2030.568-.145%2019.36%206.216%208.152%2012.577%201.304%2024.274%201.407%2036.88v73.923c-.103%2012.606%206.745%2024.303%2017.953%2030.664%2011.208%206.361%2025.063%206.415%2036.322.14%2011.26-6.274%2018.203-17.918%2018.203-30.524z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.813%22%20y=%225.115%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.813%22%20y=%225.115%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2223.725%22%20y=%2282.135%22%20transform=%22matrix(1.00468%200%200%20.99534%203.632%2042.289)%22%20font-weight=%22400%22%20font-size=%2233.286%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%2223.725%22%20y=%2282.135%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 144, + "y": 432 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 432 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 432 + } + }, + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 416, + "y": 496 + } + }, + { + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 704, + "y": 496 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 280, + "y": 504 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 144, + "y": 504 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 416, + "y": 568 + } + }, + { + "id": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 576, + "y": 480 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" + }, + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + } + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "b55a256d-6938-4d55-b4dc-3fcd76e634a3", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + } + ] + } + } + }, + "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697": { + "package": { + "name": "Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (1-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 456, + "y": 360 + } + }, + { + "id": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1096, + "y": 392 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 136, + "y": 416 + } + }, + { + "id": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": 416 + } + }, + { + "id": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": 528 + } + }, + { + "id": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 136, + "y": 528 + } + }, + { + "id": "8d94a294-a698-43c5-9777-874fd39b8586", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 616, + "y": 552 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 376, + "y": 656 + } + }, + { + "id": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 952, + "y": 392 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 600, + "y": 376 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 624, + "y": 656 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 784, + "y": 568 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "a0fa1754-f66a-4772-adc6-07f9549ae9fe", + "port": "outlabel" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "8d94a294-a698-43c5-9777-874fd39b8586", + "port": "outlabel" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "d80dfce7-c76f-40a0-91ff-5e55863fe5da", + "port": "inlabel" + } + }, + { + "source": { + "block": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a", + "port": "out" + }, + "target": { + "block": "a14a9465-c4d0-4c20-aa1a-458b40aa8b70", + "port": "inlabel" + } + }, + { + "source": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "0e6a9a81-8521-4ade-8012-71915b39ae41", + "port": "in" + }, + "vertices": [] + }, + { + "source": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "cbd20301-0f38-49ce-bd16-b5d27a8ad6e8", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "ec23e0ef-db5d-46b0-b1fd-452e0dc46a0b", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + }, + { + "source": { + "block": "4f537b92-d51a-4e53-808b-0730bd2424dd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6219285f-76c0-4fdf-8f60-bd5afeef0e52", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [] + } + ] + } + } + }, + "053dc2e26797e60dd454402e395eb23f388681b9": { + "package": { + "name": "DFF", + "version": "2.0", + "description": "D Flip-flop (verilog implementation)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 160 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 224 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 304 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 344, + "height": 176 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 48 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 136 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 280 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "84f0a15761ee8b753f67079819a7614923939472": { + "package": { + "name": "Bus4-Join-all", + "version": "0.1", + "description": "Bus4-Join-all: Join all the wires into a 4-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 80, + "y": 64 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 80, + "y": 136 + } + }, + { + "id": "55180947-6349-4a04-a151-ad69ea2b155e", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 712, + "y": 200 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 208 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 80, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[3:0]", + "size": 4 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 344, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 176 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 128 + } + ] + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "55180947-6349-4a04-a151-ad69ea2b155e", + "port": "in" + }, + "size": 4 + } + ] + } + } + }, + "145d1e59e1ad2972dc3c7cb3026aecc647c0bcdf": { + "package": { + "name": "Generic-comp", + "version": "0.1", + "description": "Componente genérico", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20viewBox=%220%200%2032%2032%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cpath%20d=%22M16%206.958a1%201%200%200%201-1-1V2.042a1%201%200%200%201%202%200v3.917a1%201%200%200%201-1%201zM11%207a1%201%200%200%201-1-1V2a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%207a1%201%200%200%201-1-1V2a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201zM16%2030.959a1%201%200%200%201-1-1v-3.917a1%201%200%200%201%202%200v3.916a1%201%200%200%201-1%201zM11%2031a1%201%200%200%201-1-1v-4a1%201%200%200%201%202%200v4a1%201%200%200%201-1%201zM21%2031a1%201%200%200%201-1-1v-4a1%201%200%201%201%202%200v4a1%201%200%200%201-1%201z%22%20fill=%22#4391B2%22/%3E%3Cg%3E%3Cpath%20d=%22M5.958%2017H2.042a1%201%200%200%201%200-2h3.917a1%201%200%200%201%200%202zM6%2022H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM6%2012H2a1%201%200%200%201%200-2h4a1%201%200%200%201%200%202zM29.958%2017h-3.916a1%201%200%201%201%200-2h3.916a1%201%200%201%201%200%202zM30%2022h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202zM30%2012h-4a1%201%200%201%201%200-2h4a1%201%200%201%201%200%202z%22%20fill=%22#4391B2%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M27%206v20c0%20.55-.45%201-1%201H6c-.55%200-1-.45-1-1V6c0-.55.45-1%201-1h20c.55%200%201%20.45%201%201z%22%20fill=%22#48B1DD%22/%3E%3C/g%3E%3Cg%3E%3Cpath%20d=%22M23%2012v8c0%201.65-1.35%203-3%203h-8c-1.65%200-3-1.35-3-3v-8c0-1.65%201.35-3%203-3h8c1.65%200%203%201.35%203%203z%22%20fill=%22#96CEE5%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1637855658218 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "eaeced75-ead9-475f-a586-0dba076178f4", + "type": "basic.inputLabel", + "data": { + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": -200 + } + }, + { + "id": "aa0bb6ec-e62a-4571-a54f-0c38b3a3c046", + "type": "basic.input", + "data": { + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 296, + "y": -200 + } + }, + { + "id": "d3870a5f-4e98-4b57-a9b8-e33cee1dbe0f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 1632, + "y": -184 + } + }, + { + "id": "07cfa75b-6e41-43bf-b499-a07adacded9f", + "type": "basic.output", + "data": { + "name": "data", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false + }, + "position": { + "x": 1784, + "y": -184 + } + }, + { + "id": "e52f263e-9174-412c-8b1d-ad27b30b206f", + "type": "basic.input", + "data": { + "name": "inport", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 296, + "y": -128 + } + }, + { + "id": "c002a8bc-f3d4-44ca-923e-c54d737afbab", + "type": "basic.inputLabel", + "data": { + "name": "inport", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": -128 + } + }, + { + "id": "47db6792-1e3e-4877-8771-3dfdfc700ef8", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 440, + "y": -48 + } + }, + { + "id": "95be2580-d783-48fb-a7ed-b4bd26e6fd71", + "type": "basic.input", + "data": { + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 288, + "y": -48 + } + }, + { + "id": "087a330e-9218-40ad-bc42-3458e9c62601", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_inport", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 24 + } + }, + { + "id": "a30a3537-1a36-4753-90e5-dbe98f748688", + "type": "basic.outputLabel", + "data": { + "name": "inport", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 680, + "y": 24 + } + }, + { + "id": "87ef7dd1-0656-4c50-ae31-ed78d7c00141", + "type": "basic.input", + "data": { + "name": "cs_inport", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": false, + "clock": false + }, + "position": { + "x": 288, + "y": 24 + } + }, + { + "id": "965d336a-48f5-4705-9a99-dbe9ed283ff5", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "inst", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 1128, + "y": 88 + } + }, + { + "id": "3a7c93ea-1337-4499-bcf4-abe9fb1e89bf", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "data", + "range": "[31:0]", + "pins": [ + { + "index": "31", + "name": "", + "value": "" + }, + { + "index": "30", + "name": "", + "value": "" + }, + { + "index": "29", + "name": "", + "value": "" + }, + { + "index": "28", + "name": "", + "value": "" + }, + { + "index": "27", + "name": "", + "value": "" + }, + { + "index": "26", + "name": "", + "value": "" + }, + { + "index": "25", + "name": "", + "value": "" + }, + { + "index": "24", + "name": "", + "value": "" + }, + { + "index": "23", + "name": "", + "value": "" + }, + { + "index": "22", + "name": "", + "value": "" + }, + { + "index": "21", + "name": "", + "value": "" + }, + { + "index": "20", + "name": "", + "value": "" + }, + { + "index": "19", + "name": "", + "value": "" + }, + { + "index": "18", + "name": "", + "value": "" + }, + { + "index": "17", + "name": "", + "value": "" + }, + { + "index": "16", + "name": "", + "value": "" + }, + { + "index": "15", + "name": "", + "value": "" + }, + { + "index": "14", + "name": "", + "value": "" + }, + { + "index": "13", + "name": "", + "value": "" + }, + { + "index": "12", + "name": "", + "value": "" + }, + { + "index": "11", + "name": "", + "value": "" + }, + { + "index": "10", + "name": "", + "value": "" + }, + { + "index": "9", + "name": "", + "value": "" + }, + { + "index": "8", + "name": "", + "value": "" + }, + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 1480, + "y": 208 + } + }, + { + "id": "8972b63a-942f-4c5a-a9db-d4562c0f2fe8", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_rom", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1176, + "y": 312 + } + }, + { + "id": "6f7402c3-a842-4265-952d-b68ad16e95ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "cs_inport", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 992, + "y": 312 + } + }, + { + "id": "48897bc7-a8c7-4abc-91b0-6e1820e7af6f", + "type": "15006cbec319daa89f8e78763f957bb01e068cb7", + "position": { + "x": 1304, + "y": 192 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "f1cdd417-9d42-4a9a-a90e-0e9bbefcf5a2", + "type": "15006cbec319daa89f8e78763f957bb01e068cb7", + "position": { + "x": 1120, + "y": 192 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "type": "78e0a3d1967abcd86e415b34d991628f183e3597", + "position": { + "x": 864, + "y": -56 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "e52ddc74-8a22-468f-8f7c-324b449ca935", + "type": "da0861afd3d2e1db0b7bde922cdad9c4a1258652", + "position": { + "x": 664, + "y": -112 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c8737e3e-f8a2-4cba-9c1f-bd63af679816", + "type": "2c97f6ae6ea60105a0bcfee2d298380364fdcceb", + "position": { + "x": 888, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "48897bc7-a8c7-4abc-91b0-6e1820e7af6f", + "port": "cc3d1a15-4d15-4d13-80b2-2f7f50d2d6c5", + "size": 32 + }, + "target": { + "block": "3a7c93ea-1337-4499-bcf4-abe9fb1e89bf", + "port": "inlabel" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "8972b63a-942f-4c5a-a9db-d4562c0f2fe8", + "port": "outlabel" + }, + "target": { + "block": "48897bc7-a8c7-4abc-91b0-6e1820e7af6f", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "965d336a-48f5-4705-9a99-dbe9ed283ff5", + "port": "outlabel" + }, + "target": { + "block": "48897bc7-a8c7-4abc-91b0-6e1820e7af6f", + "port": "f4ec0bd3-238f-4e51-91d9-7d2b72d3da39", + "size": 32 + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "6f7402c3-a842-4265-952d-b68ad16e95ff", + "port": "outlabel" + }, + "target": { + "block": "f1cdd417-9d42-4a9a-a90e-0e9bbefcf5a2", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "aa0bb6ec-e62a-4571-a54f-0c38b3a3c046", + "port": "out", + "size": 32 + }, + "target": { + "block": "eaeced75-ead9-475f-a586-0dba076178f4", + "port": "inlabel" + }, + "size": 32 + }, + { + "source": { + "block": "e52f263e-9174-412c-8b1d-ad27b30b206f", + "port": "out", + "size": 8 + }, + "target": { + "block": "c002a8bc-f3d4-44ca-923e-c54d737afbab", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "d3870a5f-4e98-4b57-a9b8-e33cee1dbe0f", + "port": "outlabel" + }, + "target": { + "block": "07cfa75b-6e41-43bf-b499-a07adacded9f", + "port": "in", + "size": 32 + }, + "size": 32 + }, + { + "source": { + "block": "a30a3537-1a36-4753-90e5-dbe98f748688", + "port": "outlabel" + }, + "target": { + "block": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "port": "832263fc-5539-42d5-b40b-47ebd9172307", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "95be2580-d783-48fb-a7ed-b4bd26e6fd71", + "port": "out" + }, + "target": { + "block": "47db6792-1e3e-4877-8771-3dfdfc700ef8", + "port": "inlabel" + } + }, + { + "source": { + "block": "87ef7dd1-0656-4c50-ae31-ed78d7c00141", + "port": "out" + }, + "target": { + "block": "087a330e-9218-40ad-bc42-3458e9c62601", + "port": "inlabel" + } + }, + { + "source": { + "block": "f1cdd417-9d42-4a9a-a90e-0e9bbefcf5a2", + "port": "cc3d1a15-4d15-4d13-80b2-2f7f50d2d6c5" + }, + "target": { + "block": "48897bc7-a8c7-4abc-91b0-6e1820e7af6f", + "port": "b42685e7-19fb-4f87-be45-8bcf55a68e4c" + }, + "vertices": [], + "size": 32 + }, + { + "source": { + "block": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "f1cdd417-9d42-4a9a-a90e-0e9bbefcf5a2", + "port": "f4ec0bd3-238f-4e51-91d9-7d2b72d3da39" + }, + "vertices": [ + { + "x": 1056, + "y": 168 + } + ], + "size": 32 + }, + { + "source": { + "block": "e52ddc74-8a22-468f-8f7c-324b449ca935", + "port": "56102125-3ee5-4ee9-94d5-e66dfcacb7de" + }, + "target": { + "block": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "port": "e48e299f-46ba-4f77-a623-41d5c2010362" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "e52ddc74-8a22-468f-8f7c-324b449ca935", + "port": "56102125-3ee5-4ee9-94d5-e66dfcacb7de" + }, + "target": { + "block": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "port": "7c1446d8-6d07-4455-b759-79219a96fe0a" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "e52ddc74-8a22-468f-8f7c-324b449ca935", + "port": "56102125-3ee5-4ee9-94d5-e66dfcacb7de" + }, + "target": { + "block": "82546ed7-7c59-4b1b-a3e2-d0fbeb99bf75", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "vertices": [], + "size": 8 + }, + { + "source": { + "block": "c8737e3e-f8a2-4cba-9c1f-bd63af679816", + "port": "da6a94bd-59bc-4ebc-ba7c-d87004e74d83" + }, + "target": { + "block": "f1cdd417-9d42-4a9a-a90e-0e9bbefcf5a2", + "port": "b42685e7-19fb-4f87-be45-8bcf55a68e4c" + }, + "vertices": [], + "size": 32 + } + ] + } + } + }, + "15006cbec319daa89f8e78763f957bb01e068cb7": { + "package": { + "name": "32-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (32-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2ff4ea07-c589-481d-ac0e-495b2bfa69f2", + "type": "basic.outputLabel", + "data": { + "name": "A3", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 768, + "y": -248 + } + }, + { + "id": "37492342-b41f-4954-aead-ca3aa59f9e5b", + "type": "basic.outputLabel", + "data": { + "name": "B3", + "range": "[7:0]", + "blockColor": "lightseagreen", + "size": 8 + }, + "position": { + "x": 768, + "y": -184 + } + }, + { + "id": "b29fc51e-0ede-4eb6-8d40-3ea88c6f62f0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 768, + "y": -128 + } + }, + { + "id": "e06a4bb9-360f-4f5f-998a-d3b07936216f", + "type": "basic.outputLabel", + "data": { + "name": "A2", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 768, + "y": -32 + } + }, + { + "id": "1e0d69f2-e882-44eb-b5a9-470a46d6346c", + "type": "basic.outputLabel", + "data": { + "name": "B2", + "range": "[7:0]", + "blockColor": "lightseagreen", + "size": 8 + }, + "position": { + "x": 768, + "y": 40 + } + }, + { + "id": "91cd5bc6-b8a8-4767-95c2-af26a2b897e9", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 760, + "y": 112 + } + }, + { + "id": "fd0e40ca-31b5-4676-817c-275d0828a264", + "type": "basic.inputLabel", + "data": { + "name": "A3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 560, + "y": 168 + } + }, + { + "id": "d51bebaa-be59-47df-ab59-feffe4ada31f", + "type": "basic.outputLabel", + "data": { + "name": "A1", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 768, + "y": 192 + } + }, + { + "id": "dbd4080f-be5e-48fa-9b37-29e6e2c7f8ac", + "type": "basic.inputLabel", + "data": { + "name": "A2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": 248 + } + }, + { + "id": "cc3d1a15-4d15-4d13-80b2-2f7f50d2d6c5", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 1424, + "y": 248 + } + }, + { + "id": "3c8899ff-5571-4e04-9e0b-7b1c313e28ef", + "type": "basic.outputLabel", + "data": { + "name": "B1", + "range": "[7:0]", + "blockColor": "lightseagreen", + "size": 8 + }, + "position": { + "x": 768, + "y": 264 + } + }, + { + "id": "f4ec0bd3-238f-4e51-91d9-7d2b72d3da39", + "type": "basic.input", + "data": { + "name": "1", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 216, + "y": 264 + } + }, + { + "id": "05b310df-4374-4e14-8573-2c0239729b5d", + "type": "basic.inputLabel", + "data": { + "name": "A1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": 328 + } + }, + { + "id": "13f277dd-73d8-4761-9d9a-c34f8fe1a277", + "type": "basic.inputLabel", + "data": { + "name": "A0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 544, + "y": 384 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 416 + } + }, + { + "id": "c3b5bbe9-7526-4971-abe6-1498f2b196d5", + "type": "basic.inputLabel", + "data": { + "name": "B3", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "lightseagreen" + }, + "position": { + "x": 576, + "y": 504 + } + }, + { + "id": "25612134-20d8-42f0-9931-b2c189d89869", + "type": "basic.outputLabel", + "data": { + "name": "A0", + "range": "[7:0]", + "blockColor": "fuchsia", + "size": 8 + }, + "position": { + "x": 808, + "y": 552 + } + }, + { + "id": "28f627b5-e1cf-47e9-bbad-00363f81d478", + "type": "basic.inputLabel", + "data": { + "name": "B2", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "lightseagreen" + }, + "position": { + "x": 608, + "y": 568 + } + }, + { + "id": "b42685e7-19fb-4f87-be45-8bcf55a68e4c", + "type": "basic.input", + "data": { + "name": "0", + "range": "[31:0]", + "clock": false, + "size": 32 + }, + "position": { + "x": 224, + "y": 584 + } + }, + { + "id": "e76cc501-037a-4f78-9f25-f2dd7c681d3d", + "type": "basic.outputLabel", + "data": { + "name": "B0", + "range": "[7:0]", + "oldBlockColor": "fuchsia", + "blockColor": "lightseagreen", + "size": 8 + }, + "position": { + "x": 808, + "y": 616 + } + }, + { + "id": "2d7fdbcf-f8b8-44ff-90d5-9bf55c196092", + "type": "basic.inputLabel", + "data": { + "name": "B1", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "lightseagreen" + }, + "position": { + "x": 600, + "y": 632 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 680 + } + }, + { + "id": "88f6b3fb-1520-49b8-b714-71b0b0e723db", + "type": "basic.inputLabel", + "data": { + "name": "B0", + "range": "[7:0]", + "pins": [ + { + "index": "7", + "name": "", + "value": "" + }, + { + "index": "6", + "name": "", + "value": "" + }, + { + "index": "5", + "name": "", + "value": "" + }, + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "lightseagreen" + }, + "position": { + "x": 568, + "y": 696 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 392, + "y": 768 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 768 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 424, + "y": 512 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 424, + "y": 168 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "e8aace0d-dee2-4c44-b9c0-54cdd20b9f0b", + "type": "1bbb5bf71da86eaa4330fad867f6aefc679a4433", + "position": { + "x": 968, + "y": 568 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "f146ebbd-c61d-4fd5-b64d-071f0a8f4529", + "type": "1bbb5bf71da86eaa4330fad867f6aefc679a4433", + "position": { + "x": 960, + "y": 248 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "55643451-1626-432c-a845-e8d46bef23e6", + "type": "1bbb5bf71da86eaa4330fad867f6aefc679a4433", + "position": { + "x": 968, + "y": 24 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "type": "78e0a3d1967abcd86e415b34d991628f183e3597", + "position": { + "x": 1248, + "y": 216 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "type": "468a0568931bf0e98f0069778cf0f1b58849922a", + "position": { + "x": 376, + "y": 232 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "823279dc-d45d-4579-98cc-5ee7057bb895", + "type": "468a0568931bf0e98f0069778cf0f1b58849922a", + "position": { + "x": 392, + "y": 552 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "afe09f68-8cb2-4b46-8722-df7fa8a7c82a", + "type": "1bbb5bf71da86eaa4330fad867f6aefc679a4433", + "position": { + "x": 968, + "y": -200 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "e8aace0d-dee2-4c44-b9c0-54cdd20b9f0b", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "f146ebbd-c61d-4fd5-b64d-071f0a8f4529", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "823279dc-d45d-4579-98cc-5ee7057bb895", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "88f6b3fb-1520-49b8-b714-71b0b0e723db", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "port": "bbc72c72-9eec-46c2-aeff-4233b746b9c2", + "size": 8 + }, + "target": { + "block": "13f277dd-73d8-4761-9d9a-c34f8fe1a277", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "e76cc501-037a-4f78-9f25-f2dd7c681d3d", + "port": "outlabel" + }, + "target": { + "block": "e8aace0d-dee2-4c44-b9c0-54cdd20b9f0b", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "vertices": [ + { + "x": 928, + "y": 624 + } + ], + "size": 8 + }, + { + "source": { + "block": "25612134-20d8-42f0-9931-b2c189d89869", + "port": "outlabel" + }, + "target": { + "block": "e8aace0d-dee2-4c44-b9c0-54cdd20b9f0b", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "823279dc-d45d-4579-98cc-5ee7057bb895", + "port": "ab69e2b6-41cb-4145-883c-ff7d66e29c69", + "size": 8 + }, + "target": { + "block": "2d7fdbcf-f8b8-44ff-90d5-9bf55c196092", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "port": "ab69e2b6-41cb-4145-883c-ff7d66e29c69", + "size": 8 + }, + "target": { + "block": "05b310df-4374-4e14-8573-2c0239729b5d", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "3c8899ff-5571-4e04-9e0b-7b1c313e28ef", + "port": "outlabel" + }, + "target": { + "block": "f146ebbd-c61d-4fd5-b64d-071f0a8f4529", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "d51bebaa-be59-47df-ab59-feffe4ada31f", + "port": "outlabel" + }, + "target": { + "block": "f146ebbd-c61d-4fd5-b64d-071f0a8f4529", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "vertices": [ + { + "x": 880, + "y": 248 + } + ], + "size": 8 + }, + { + "source": { + "block": "91cd5bc6-b8a8-4767-95c2-af26a2b897e9", + "port": "outlabel" + }, + "target": { + "block": "55643451-1626-432c-a845-e8d46bef23e6", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "port": "9854725b-1481-43d8-88b9-7f4e3e46e935", + "size": 8 + }, + "target": { + "block": "dbd4080f-be5e-48fa-9b37-29e6e2c7f8ac", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "823279dc-d45d-4579-98cc-5ee7057bb895", + "port": "9854725b-1481-43d8-88b9-7f4e3e46e935", + "size": 8 + }, + "target": { + "block": "28f627b5-e1cf-47e9-bbad-00363f81d478", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "1e0d69f2-e882-44eb-b5a9-470a46d6346c", + "port": "outlabel" + }, + "target": { + "block": "55643451-1626-432c-a845-e8d46bef23e6", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "e06a4bb9-360f-4f5f-998a-d3b07936216f", + "port": "outlabel" + }, + "target": { + "block": "55643451-1626-432c-a845-e8d46bef23e6", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "vertices": [ + { + "x": 880, + "y": 16 + } + ], + "size": 8 + }, + { + "source": { + "block": "b29fc51e-0ede-4eb6-8d40-3ea88c6f62f0", + "port": "outlabel" + }, + "target": { + "block": "afe09f68-8cb2-4b46-8722-df7fa8a7c82a", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "port": "cd24bb12-b3ea-4587-845c-24eb04db0e7d", + "size": 8 + }, + "target": { + "block": "fd0e40ca-31b5-4676-817c-275d0828a264", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "823279dc-d45d-4579-98cc-5ee7057bb895", + "port": "cd24bb12-b3ea-4587-845c-24eb04db0e7d", + "size": 8 + }, + "target": { + "block": "c3b5bbe9-7526-4971-abe6-1498f2b196d5", + "port": "inlabel" + }, + "size": 8 + }, + { + "source": { + "block": "37492342-b41f-4954-aead-ca3aa59f9e5b", + "port": "outlabel" + }, + "target": { + "block": "afe09f68-8cb2-4b46-8722-df7fa8a7c82a", + "port": "e045f285-627f-42f7-b073-faa36ef6d420", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "2ff4ea07-c589-481d-ac0e-495b2bfa69f2", + "port": "outlabel" + }, + "target": { + "block": "afe09f68-8cb2-4b46-8722-df7fa8a7c82a", + "port": "520455a3-592c-4fd0-ade9-62d366c88919", + "size": 8 + }, + "size": 8 + }, + { + "source": { + "block": "e8aace0d-dee2-4c44-b9c0-54cdd20b9f0b", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4" + }, + "target": { + "block": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "port": "832263fc-5539-42d5-b40b-47ebd9172307" + }, + "vertices": [ + { + "x": 1144, + "y": 448 + } + ], + "size": 8 + }, + { + "source": { + "block": "f146ebbd-c61d-4fd5-b64d-071f0a8f4529", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4" + }, + "target": { + "block": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "port": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006" + }, + "size": 8 + }, + { + "source": { + "block": "55643451-1626-432c-a845-e8d46bef23e6", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4" + }, + "target": { + "block": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "port": "e48e299f-46ba-4f77-a623-41d5c2010362" + }, + "vertices": [ + { + "x": 1104, + "y": 168 + } + ], + "size": 8 + }, + { + "source": { + "block": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "port": "a121f12a-dede-4aec-9016-4c8e92ed75e2" + }, + "target": { + "block": "cc3d1a15-4d15-4d13-80b2-2f7f50d2d6c5", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "b42685e7-19fb-4f87-be45-8bcf55a68e4c", + "port": "out" + }, + "target": { + "block": "823279dc-d45d-4579-98cc-5ee7057bb895", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "f4ec0bd3-238f-4e51-91d9-7d2b72d3da39", + "port": "out" + }, + "target": { + "block": "08221ff0-dd6a-4aba-835e-8361eeb1d5fb", + "port": "5204a9f1-594b-4216-b993-03b46bef9e6e" + }, + "size": 32 + }, + { + "source": { + "block": "afe09f68-8cb2-4b46-8722-df7fa8a7c82a", + "port": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4" + }, + "target": { + "block": "a9c1ad00-79d9-48e9-bf62-1f895d86267c", + "port": "7c1446d8-6d07-4455-b759-79219a96fe0a" + }, + "vertices": [ + { + "x": 1144, + "y": 104 + } + ], + "size": 8 + } + ] + } + } + }, + "1bbb5bf71da86eaa4330fad867f6aefc679a4433": { + "package": { + "name": "8-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (8-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "type": "basic.outputLabel", + "data": { + "name": "A1", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 768, + "y": 192 + } + }, + { + "id": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "type": "basic.inputLabel", + "data": { + "name": "A1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 208 + } + }, + { + "id": "520455a3-592c-4fd0-ade9-62d366c88919", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 200, + "y": 264 + } + }, + { + "id": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "type": "basic.outputLabel", + "data": { + "name": "B1", + "range": "[3:0]", + "oldBlockColor": "fuchsia", + "blockColor": "turquoise", + "size": 4 + }, + "position": { + "x": 768, + "y": 264 + } + }, + { + "id": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "type": "basic.inputLabel", + "data": { + "name": "A0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 544, + "y": 280 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 416 + } + }, + { + "id": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 1336, + "y": 432 + } + }, + { + "id": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "type": "basic.inputLabel", + "data": { + "name": "B1", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "lightseagreen" + }, + "position": { + "x": 584, + "y": 528 + } + }, + { + "id": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "type": "basic.outputLabel", + "data": { + "name": "A0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 808, + "y": 552 + } + }, + { + "id": "e045f285-627f-42f7-b073-faa36ef6d420", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 208, + "y": 592 + } + }, + { + "id": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "type": "basic.inputLabel", + "data": { + "name": "B0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "turquoise" + }, + "position": { + "x": 584, + "y": 608 + } + }, + { + "id": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "type": "basic.outputLabel", + "data": { + "name": "B0", + "range": "[3:0]", + "blockColor": "turquoise", + "size": 4 + }, + "position": { + "x": 808, + "y": 616 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 808, + "y": 680 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 392, + "y": 768 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": 768 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 424, + "y": 512 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", + "position": { + "x": 968, + "y": 568 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "390876fb-5c5c-4ac6-b918-383ac0a105cb", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 424, + "y": 168 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "type": "afb28fd5426aea14477d11cbe30a290679f789f8", + "position": { + "x": 1152, + "y": 432 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "10dbbb33-fa47-4d9f-8723-170519859684", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 376, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9714e6fb-453b-435a-b124-72e5a30ff428", + "type": "6bdcd950abc9b45a5cdd4ffbdeff404a6f44660a", + "position": { + "x": 392, + "y": 592 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "type": "952eda35358117b68b3f8a2489e9dc86168d0144", + "position": { + "x": 960, + "y": 248 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "e5f303d0-c733-4c57-91cc-1839aecc1abf", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "vertices": [ + { + "x": 928, + "y": 624 + } + ], + "size": 4 + }, + { + "source": { + "block": "1ac67dde-5b18-4439-b031-3cdc5606fdb0", + "port": "outlabel" + }, + "target": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "c0d2677a-f00a-49e0-a06b-9cef7d5bcab6", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "eb61d084-ca22-4894-b8a2-f936db38f4b1", + "size": 4 + }, + "target": { + "block": "444c5fec-24a4-4ca0-95fc-e93fc978105f", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "c2826cf6-ae6e-42d0-a249-a670d1eaa424", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "637e64f4-0a6b-4037-9a75-89397e078a58", + "size": 4 + }, + "target": { + "block": "976f4fb3-80a7-41bf-9823-fe545cff0fcb", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "1fabc99c-6477-496b-94f9-46305ba25e8e", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "8b06e5ad-1380-462d-a10b-6c448f90ca9a", + "port": "outlabel" + }, + "target": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "05b405a4-dfb4-405d-b772-0b7cc2a15984", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "843164ff-f7d8-48b9-bf59-1e0d1135c81f" + }, + "size": 4 + }, + { + "source": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "a932aa2c-3aad-4790-9d2f-7c05f1074aa4", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "520455a3-592c-4fd0-ade9-62d366c88919", + "port": "out" + }, + "target": { + "block": "10dbbb33-fa47-4d9f-8723-170519859684", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "e045f285-627f-42f7-b073-faa36ef6d420", + "port": "out" + }, + "target": { + "block": "9714e6fb-453b-435a-b124-72e5a30ff428", + "port": "f96fa616-e49a-4bb8-b1d0-ddafcc56569e" + }, + "size": 8 + }, + { + "source": { + "block": "b85ecc67-fa53-4039-9079-5ecf06308c8a", + "port": "0c30aa42-915c-42e9-9179-f46349c9400c" + }, + "target": { + "block": "8a64961f-f1cb-4dad-8eb7-6f1ded5c7d24", + "port": "a1770adf-e143-4506-9d87-3cb9c870f534" + }, + "vertices": [ + { + "x": 1088, + "y": 360 + } + ], + "size": 4 + } + ] + } + } + }, + "952eda35358117b68b3f8a2489e9dc86168d0144": { + "package": { + "name": "4-bits-Mux-2-1", + "version": "0.1", + "description": "2-to-1 Multplexer (4-bit channels)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2280.833%22%20height=%22158.56%22%20viewBox=%220%200%2075.781585%20148.65066%22%3E%3Cpath%20d=%22M74.375%2036.836c0-12.691-6.99-24.413-18.326-30.729-11.335-6.316-25.284-6.262-36.568.141C8.198%2012.652%201.304%2024.427%201.407%2037.118v74.415c-.103%2012.69%206.79%2024.466%2018.074%2030.87%2011.284%206.403%2025.233%206.457%2036.568.14%2011.336-6.316%2018.326-18.037%2018.326-30.728z%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%222.813%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.448%22%20y=%2291.518%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.448%22%20y=%2291.518%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:125%25%22%20x=%227.359%22%20y=%2214.582%22%20transform=%22matrix(1.00472%200%200%20.9953%2020.25%2033.697)%22%20font-weight=%22400%22%20font-size=%2233.509%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%3E%3Ctspan%20x=%227.359%22%20y=%2214.582%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1618922858665 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": -72 + } + }, + { + "id": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 0 + } + }, + { + "id": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 72 + } + }, + { + "id": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 160 + } + }, + { + "id": "6776605f-93ce-4cae-8606-055bd2415b2d", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 160 + } + }, + { + "id": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 440, + "y": 224 + } + }, + { + "id": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 232 + } + }, + { + "id": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "type": "basic.input", + "data": { + "name": "1", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 240 + } + }, + { + "id": "569d2a99-51b3-4a38-9b7b-ace665061883", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 432, + "y": 296 + } + }, + { + "id": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 304 + } + }, + { + "id": "377986eb-1798-42b1-846a-3e33efe71ce4", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 360 + } + }, + { + "id": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 400 + } + }, + { + "id": "0c30aa42-915c-42e9-9179-f46349c9400c", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1256, + "y": 416 + } + }, + { + "id": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 456, + "y": 464 + } + }, + { + "id": "ea1e0257-805a-4562-9264-66dd07a08022", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "oldBlockColor": "turquoise" + }, + "position": { + "x": 712, + "y": 488 + } + }, + { + "id": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "turquoise" + }, + "position": { + "x": 464, + "y": 528 + } + }, + { + "id": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 544 + } + }, + { + "id": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 560 + } + }, + { + "id": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 464, + "y": 592 + } + }, + { + "id": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "A0" + }, + "position": { + "x": 720, + "y": 648 + } + }, + { + "id": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "type": "basic.inputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 432, + "y": 648 + } + }, + { + "id": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "type": "basic.outputLabel", + "data": { + "blockColor": "turquoise", + "name": "B0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 720, + "y": 720 + } + }, + { + "id": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "type": "basic.input", + "data": { + "name": "sel", + "clock": false + }, + "position": { + "x": 104, + "y": 728 + } + }, + { + "id": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 264, + "y": 728 + } + }, + { + "id": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "sel", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 712, + "y": 800 + } + }, + { + "id": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 472 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "d933500c-d68a-479f-9e5d-f4fb16510968", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 864, + "y": 704 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2ddbccd1-2bc6-47b9-9045-24c317b9cd33", + "type": "basic.info", + "data": { + "info": "Channel A", + "readonly": true + }, + "position": { + "x": 304, + "y": 128 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "4593d302-bf1c-414c-917f-e13e667192e3", + "type": "basic.info", + "data": { + "info": "Channel B", + "readonly": true + }, + "position": { + "x": 304, + "y": 480 + }, + "size": { + "width": 144, + "height": 32 + } + }, + { + "id": "3d24183b-338b-439c-aec1-f4a264907b97", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": 216 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 1040, + "y": 384 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 856, + "y": -16 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 288, + "y": 512 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": 280, + "y": 208 + }, + "size": { + "width": 96, + "height": 128 + } + } + ], + "wires": [ + { + "source": { + "block": "08fac044-f451-4fb7-9668-f5bce3b40c2c", + "port": "out" + }, + "target": { + "block": "8c5a78df-4703-4ec5-b5a8-4bc51e280b7f", + "port": "inlabel" + } + }, + { + "source": { + "block": "b88ffc6a-a37e-4e72-9af5-e0e47bb28db3", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "b4691dbc-4f35-4ec6-931f-deb1299ac774", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "569d2a99-51b3-4a38-9b7b-ace665061883", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "377986eb-1798-42b1-846a-3e33efe71ce4", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "c8392eb0-afcb-4dc0-8e67-06dff179803a", + "port": "inlabel" + } + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0a8ebf52-d113-42e8-afc5-b74a9292f193", + "port": "inlabel" + } + }, + { + "source": { + "block": "52e82e71-0cf6-4d15-806e-f9bda2a630c6", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "58eb9009-6044-47e1-be9a-7e4e8fa874ed", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "ab701d88-141a-437f-bd4a-d89c608d85fb", + "port": "outlabel" + }, + "target": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "ea1e0257-805a-4562-9264-66dd07a08022", + "port": "outlabel" + }, + "target": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + } + }, + { + "source": { + "block": "80a47e76-e2a8-4d42-8e07-7fd40760298a", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "bdc6f92f-aadd-40e2-b0dd-3373df16f979", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "08e7b8aa-60d9-446c-a9c3-b3cd489fe051", + "port": "outlabel" + }, + "target": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "0e0d7d30-23bc-40b3-b71d-57deb9d4436c", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ce0162a1-eaa9-4835-bac8-e02980d97d84", + "port": "inlabel" + } + }, + { + "source": { + "block": "b32fe65a-108e-4d63-8a3e-c864aba3ab1e", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "404ea27a-83cf-4ce3-8d2a-ff0e46dad63f", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "e6f8e666-26f0-4b22-a8ff-860b64af3f5d", + "port": "outlabel" + }, + "target": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "c48c499e-09ea-4666-a5e4-08f15e0ca9c8", + "port": "inlabel" + } + }, + { + "source": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "6776605f-93ce-4cae-8606-055bd2415b2d", + "port": "inlabel" + } + }, + { + "source": { + "block": "727ec397-8887-46bc-b79d-ebc0c9dc9dd5", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 984, + "y": 472 + } + ] + }, + { + "source": { + "block": "d933500c-d68a-479f-9e5d-f4fb16510968", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "3d24183b-338b-439c-aec1-f4a264907b97", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 976, + "y": 352 + } + ] + }, + { + "source": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "0c30aa42-915c-42e9-9179-f46349c9400c", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "d8ac6188-7933-496e-a8b2-36a194bc73c4", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "6927d8e6-574b-4e49-a713-3b7efa1039f8", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + }, + { + "source": { + "block": "237fb738-6eb3-4656-a741-3dd9dfae70c5", + "port": "out" + }, + "target": { + "block": "a67ad91c-29dc-45b3-af1b-0504c994654f", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "8f823359-0f81-4e0d-96d6-b1e8840bae2d", + "port": "out" + }, + "target": { + "block": "8b123927-f2d7-432c-946e-2fc86fa689aa", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + } + ] + } + } + }, + "78e0a3d1967abcd86e415b34d991628f183e3597": { + "package": { + "name": "Bus32-Join-quarter", + "version": "0.1", + "description": "Bus32-Join-quarter: Join the four buses into an 32-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7c1446d8-6d07-4455-b759-79219a96fe0a", + "type": "basic.input", + "data": { + "name": "3", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 96, + "y": 96 + } + }, + { + "id": "e48e299f-46ba-4f77-a623-41d5c2010362", + "type": "basic.input", + "data": { + "name": "2", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 96, + "y": 200 + } + }, + { + "id": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "type": "basic.output", + "data": { + "name": "", + "range": "[31:0]", + "size": 32 + }, + "position": { + "x": 688, + "y": 224 + } + }, + { + "id": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "type": "basic.input", + "data": { + "name": "1", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 104, + "y": 272 + } + }, + { + "id": "832263fc-5539-42d5-b40b-47ebd9172307", + "type": "basic.input", + "data": { + "name": "0", + "range": "[7:0]", + "clock": false, + "size": 8 + }, + "position": { + "x": 104, + "y": 352 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i3", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i2", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i1", + "range": "[7:0]", + "size": 8 + }, + { + "name": "i0", + "range": "[7:0]", + "size": 8 + } + ], + "out": [ + { + "name": "o", + "range": "[31:0]", + "size": 32 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 312, + "height": 152 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "a121f12a-dede-4aec-9016-4c8e92ed75e2", + "port": "in" + }, + "size": 32 + }, + { + "source": { + "block": "832263fc-5539-42d5-b40b-47ebd9172307", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 8 + }, + { + "source": { + "block": "40f9d1d0-01e9-40c2-b4a4-e7277a78c006", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 8 + }, + { + "source": { + "block": "e48e299f-46ba-4f77-a623-41d5c2010362", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "size": 8 + }, + { + "source": { + "block": "7c1446d8-6d07-4455-b759-79219a96fe0a", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + }, + "vertices": [ + { + "x": 224, + "y": 160 + } + ], + "size": 8 + } + ] + } + } + }, + "da0861afd3d2e1db0b7bde922cdad9c4a1258652": { + "package": { + "name": "8bits-Value_0", + "version": "0.0.1", + "description": "8bits constant value: 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22153.645%22%20height=%22230.616%22%20viewBox=%220%200%20144.04211%20216.20273%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M72.021%2022.332q-21.774%200-32.8%2021.495-10.887%2021.355-10.887%2064.344%200%2042.85%2010.887%2064.345%2011.026%2021.355%2032.8%2021.355%2021.913%200%2032.8-21.355%2011.027-21.495%2011.027-64.345%200-42.99-11.027-64.344-10.887-21.495-32.8-21.495zm0-22.332q35.034%200%2053.458%2027.776%2018.563%2027.636%2018.563%2080.395%200%2052.62-18.563%2080.396-18.424%2027.636-53.458%2027.636-35.033%200-53.597-27.636Q0%20160.79%200%20108.17q0-52.76%2018.424-80.395Q36.988%200%2072.021%200z%22%20style=%22line-height:1.25%22%20font-size=%22285.851%22%20font-family=%22sans-serif%22%20stroke-width=%227.146%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "56102125-3ee5-4ee9-94d5-e66dfcacb7de", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 896, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "type": "ffc517ae50d4171640702dac38a546757cc9ae35", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "6db4f25e-c3b1-4cc1-b159-a918b9ce2f53", + "port": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917" + }, + "target": { + "block": "56102125-3ee5-4ee9-94d5-e66dfcacb7de", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "ffc517ae50d4171640702dac38a546757cc9ae35": { + "package": { + "name": "8-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 8-bits generic constant (0-255)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 952, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "b5ba4b9b-f5f9-4eb7-9faf-b362f0463917", + "port": "in" + }, + "size": 8 + } + ] + } + } + }, + "04e0619f7e104d5ce88482df6cacc8030b4e24fa": { + "package": { + "name": "start-5-bits", + "version": "0.1", + "description": "start-5-bit: 32 cycles width pulse", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22268.174%22%20height=%22232.321%22%20viewBox=%220%200%2070.954384%2061.468394%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-74.072%20-21.442)%22%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2288.424%22%20y=%2229.297%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20stroke-width=%22.265%22%3E%3Ctspan%20x=%2288.424%22%20y=%2229.297%22%3EStart!%3C/tspan%3E%3C/text%3E%3Ccircle%20r=%2223.501%22%20cy=%2256.6%22%20cx=%22103.263%22%20fill=%22#ececec%22%20stroke=%22green%22%20stroke-width=%22.982%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M115.709%2070.04H101.95V39.653h-9.822%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.455%22%20stroke-linecap=%22round%22/%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%2047.804%208.243)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cellipse%20cy=%2273.132%22%20cx=%2280.204%22%20rx=%225.798%22%20ry=%227.075%22%20fill=%22#ececec%22/%3E%3Ctext%20y=%2280.762%22%20x=%2273.141%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%2238.048%22%20letter-spacing=%220%22%20word-spacing=%220%22%20transform=%22scale(.99853%201.00147)%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.706%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2280.762%22%20x=%2273.141%22%20font-weight=%22700%22%20font-size=%2221.742%22%3E0%3C/tspan%3E%3C/text%3E%3Cg%20transform=%22translate(31.062%2013.625)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/g%3E%3C/svg%3E", + "otid": 1616923422866 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 352, + "y": -192 + } + }, + { + "id": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 504, + "y": -192 + } + }, + { + "id": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": -176 + } + }, + { + "id": "7881f953-c51b-45dc-95a0-a16f95d5c843", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "busy", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 1040, + "y": -144 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "out" + }, + "position": { + "x": 1328, + "y": -88 + } + }, + { + "id": "5ef6d06c-99b2-422c-b4e9-21236c3dc097", + "type": "basic.outputLabel", + "data": { + "name": "cyc", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 1024, + "y": 16 + } + }, + { + "id": "2373b9bb-9530-460b-ba1e-8b3bbdca9503", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 416, + "y": 40 + } + }, + { + "id": "d8fa192f-9bb1-48f4-a4b7-f10614d36661", + "type": "basic.inputLabel", + "data": { + "name": "cyc", + "range": "[4:0]", + "pins": [ + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 744, + "y": 48 + } + }, + { + "id": "98b9fc6f-9579-42ce-9962-193140467a76", + "type": "basic.output", + "data": { + "name": "n", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 1352, + "y": 64 + } + }, + { + "id": "f17bad8d-8081-40cc-8eae-16b6a2a8fcf1", + "type": "basic.output", + "data": { + "name": "done" + }, + "position": { + "x": 1192, + "y": 208 + } + }, + { + "id": "fe19d6ec-e193-481a-87e5-01734c574210", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "busy" + }, + "position": { + "x": 872, + "y": 224 + } + }, + { + "id": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 880, + "y": -216 + } + }, + { + "id": "3c9e71f9-0859-42dc-a502-3197737b54a0", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 360, + "y": -224 + }, + "size": { + "width": 120, + "height": 40 + } + }, + { + "id": "97d97477-4233-41bc-ab9e-795383f3d707", + "type": "14472837a03154bb4dcc011a34ab0a805d22c383", + "position": { + "x": 880, + "y": -104 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "6bac81af-9ad3-4c23-b11e-d32dba7a7c38", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 704, + "y": -88 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "e879f119-ff98-440a-8783-d1ae7e99939f", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 1352, + "y": -112 + }, + "size": { + "width": 88, + "height": 40 + } + }, + { + "id": "625d2ccb-929f-4a1a-85c0-4afc582160f6", + "type": "basic.info", + "data": { + "info": "Current cycle", + "readonly": true + }, + "position": { + "x": 1352, + "y": 40 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "25c82c92-89ce-4438-94a2-7fdbd19dce8d", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 1024, + "y": 208 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "type": "af1249dd8499dcb762669b3f85e622ba72d7e068", + "position": { + "x": 568, + "y": 104 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6faae231-b937-4d59-84ae-e7fdedfa6568", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 416, + "y": 120 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "1418cede-6c94-4e2c-bafb-3b3c7acade42", + "type": "51353d3b6cb73f3544ad435664378ce6845db9e4", + "position": { + "x": 1200, + "y": 64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "81d475cc-5bee-43d0-8d5f-04e967295a79", + "port": "out" + }, + "target": { + "block": "b3cc17d8-a01d-46d6-ae9a-6ea2d7051569", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "3b7cf626-0ae4-4c72-930a-3220f1daf9c4", + "port": "outlabel" + }, + "target": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "2373b9bb-9530-460b-ba1e-8b3bbdca9503", + "port": "outlabel" + }, + "target": { + "block": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "7881f953-c51b-45dc-95a0-a16f95d5c843", + "port": "inlabel" + } + }, + { + "source": { + "block": "fe19d6ec-e193-481a-87e5-01734c574210", + "port": "outlabel" + }, + "target": { + "block": "25c82c92-89ce-4438-94a2-7fdbd19dce8d", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "port": "4fa14254-0eea-4855-9ae2-59549c7c182e", + "size": 5 + }, + "target": { + "block": "d8fa192f-9bb1-48f4-a4b7-f10614d36661", + "port": "inlabel" + }, + "size": 5 + }, + { + "source": { + "block": "5ef6d06c-99b2-422c-b4e9-21236c3dc097", + "port": "outlabel" + }, + "target": { + "block": "1418cede-6c94-4e2c-bafb-3b3c7acade42", + "port": "330b4409-2f23-4cea-a0d0-dd655308e5bf", + "size": 5 + }, + "size": 5 + }, + { + "source": { + "block": "6bac81af-9ad3-4c23-b11e-d32dba7a7c38", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "aa3def64-52dd-48fc-9f35-74b8aa3ddf76", + "port": "constant-out" + }, + "target": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "bc3416e4-576f-40fb-85a9-5058b9f85395" + } + }, + { + "source": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" + }, + "target": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "fc243ba8-9abb-4a22-81c4-fabdf043cd01" + } + }, + { + "source": { + "block": "97d97477-4233-41bc-ab9e-795383f3d707", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "1418cede-6c94-4e2c-bafb-3b3c7acade42", + "port": "0d303f0d-398d-43f1-9e26-a95501d79457" + }, + "vertices": [ + { + "x": 1000, + "y": 24 + } + ] + }, + { + "source": { + "block": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "port": "88e0e872-0460-4ecc-bf26-8a7a5598a024" + }, + "target": { + "block": "25c82c92-89ce-4438-94a2-7fdbd19dce8d", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "25c82c92-89ce-4438-94a2-7fdbd19dce8d", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f17bad8d-8081-40cc-8eae-16b6a2a8fcf1", + "port": "in" + } + }, + { + "source": { + "block": "6faae231-b937-4d59-84ae-e7fdedfa6568", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "f7e34ff6-f008-459e-acaf-17d2460c1e44", + "port": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06" + } + }, + { + "source": { + "block": "1418cede-6c94-4e2c-bafb-3b3c7acade42", + "port": "1b0b230e-33f9-43ee-aa96-3407076ee925" + }, + "target": { + "block": "98b9fc6f-9579-42ce-9962-193140467a76", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "14472837a03154bb4dcc011a34ab0a805d22c383": { + "package": { + "name": "RS-FF-set", + "version": "0.1", + "description": "RS-FF-set. RS Flip-flop with priority set", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%220%200%20312.54461%20289.77628%22%20id=%22svg30%22%20width=%22312.545%22%20height=%22289.776%22%3E%3Cdefs%20id=%22defs34%22%3E%3Cmarker%20id=%22TriangleOutM%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20id=%22path988%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.4pt%22/%3E%3C/marker%3E%3Cmarker%20id=%22Arrow2Mend%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M-5.231-2.42L1.324-.01-5.23%202.401c1.047-1.423%201.041-3.37%200-4.821z%22%20id=%22path870%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.375%22%20stroke-linejoin=%22round%22/%3E%3C/marker%3E%3C/defs%3E%3Cstyle%20id=%22style2%22%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#303030;stroke-width:.7;stroke-linecap:round;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#303030%7D%3C/style%3E%3Cg%20id=%22layer1%22%20transform=%22translate(81.543%203.027)%22%3E%3Cpath%20class=%22st1%22%20d=%22M48.702%2092.116a64.784%2064.784%200%200%200-12.559%2011.68%2064.99%2064.99%200%200%200-12.69%2024.809%22%20id=%22path11%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20id=%22line17%22%20class=%22st1%22%20fill=%22none%22%20stroke=%22#303030%22%20stroke-width=%226.776%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22%20d=%22M184.865%20207.591L41.298%20262.802%22/%3E%3Cpath%20id=%22path21-3%22%20d=%22M185.448%20175.07l.37.154c2.52.831%204.718%202.386%206.634%204.249%202.02%202.322%203.694%204.777%204.423%208.713%201.496%208.074-3.286%2016.05-10.95%2018.997l-1.06.408L41.298%20262.8l-.733.283c-8.003%203.077-17.551.461-21.82-6.975-3.3-5.748-2.736-12.198.418-21.613%203.155-9.414%202.86-21.856-1.644-33.55l.041.003-12.507-32.667c-12.88-33.415-.747-70.376%2027.41-90.31l-1.102-2.749c-3.685-9.583%201.14-20.438%2010.723-24.124%209.583-3.685%2020.439%201.14%2024.124%2010.723l1.654%203.239c34.881-3.556%2068.594%2015.855%2081.318%2049.33l15.24%2038.432c4.795%208.723%2011.831%2015.955%2021.028%2022.248z%22%20fill=%22#fff%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3Cpath%20d=%22M64.268%20268.838l45.015-17.115s7.963%2024.296-14.427%2030.38c-22.39%206.086-30.588-13.265-30.588-13.265z%22%20id=%22path826%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20id=%22layer3%22%20transform=%22translate(78.072%20-.17)%22%3E%3Crect%20id=%22rect845%22%20width=%22135.189%22%20height=%22135.189%22%20x=%2295.783%22%20y=%223.671%22%20ry=%2219.847%22%20fill=%22#55acee%22%20stroke=%22#303030%22%20stroke-width=%227%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-miterlimit=%2210%22/%3E%3C/g%3E%3Cg%20id=%22layer4%22%20transform=%22translate(78.072%20-.17)%22%3E%3Cellipse%20id=%22path1211%22%20cx=%22-39.888%22%20cy=%22125.645%22%20rx=%2235.684%22%20ry=%2237.984%22%20fill=%22#fff6d5%22%20stroke=%22#000%22%20stroke-width=%225%22%20stroke-linecap=%22round%22/%3E%3Cpath%20class=%22st2%22%20d=%22M172.724%2052.533V27.647h-9.888L144.047%2037.7l2.308%208.9%2014.833-8.076h.33v74.824H172.724V52.533z%22%20id=%22path7%22%20fill=%22#303030%22%20stroke-width=%2214.425%22/%3E%3Cpath%20d=%22M-38.915%20152.276v-39.72%22%20id=%22path841%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%225%22%20marker-end=%22url(#TriangleOutM)%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621864223514 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -40 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -40 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 1496, + "y": 40 + } + }, + { + "id": "97800965-0802-4a8f-9ed0-e61db33ae442", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1352, + "y": 40 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1008, + "y": 136 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "set", + "clock": false + }, + "position": { + "x": 216, + "y": 136 + } + }, + { + "id": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", + "type": "basic.inputLabel", + "data": { + "blockColor": "royalblue", + "name": "set", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": 136 + } + }, + { + "id": "2378a6da-a057-4c61-9eb0-e36b6861059f", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "reset", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": 216 + } + }, + { + "id": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "type": "basic.input", + "data": { + "name": "reset", + "clock": false + }, + "position": { + "x": 224, + "y": 216 + } + }, + { + "id": "fe2e7470-5890-4c51-b71e-8ff89b4db797", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 1304, + "y": 232 + } + }, + { + "id": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "q", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 640, + "y": 248 + } + }, + { + "id": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", + "type": "basic.outputLabel", + "data": { + "blockColor": "royalblue", + "name": "set", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 816, + "y": 344 + } + }, + { + "id": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "reset", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 344 + } + }, + { + "id": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 1176, + "y": 136 + } + }, + { + "id": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 1176, + "y": 232 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 968, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "8d656647-f4ea-475e-b4c1-54787973b618", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 800, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "type": "d0c4e5ca28edb32eb88f24d610c7a2782d8bc697", + "position": { + "x": 800, + "y": 232 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "4eb098a5-9671-4946-b827-ce276e6a94f2", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 632, + "y": 136 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "864d85b3-242c-408a-9e20-fb4931e68f70", + "type": "basic.info", + "data": { + "info": "Priority for the set", + "readonly": true + }, + "position": { + "x": 1000, + "y": 344 + }, + "size": { + "width": 184, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "fe2e7470-5890-4c51-b71e-8ff89b4db797", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "7e57f319-38cf-4c3a-ac16-f37a05f8de19", + "port": "outlabel" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "833a07bb-6153-48fe-bb7a-f24fcd38ed65", + "port": "outlabel" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "38e515cf-4953-42f4-9cbc-7e4e17f605ee", + "port": "outlabel" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "08fac044-f451-4fb7-9668-f5bce3b40c2c" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + }, + "vertices": [ + { + "x": 1128, + "y": 208 + } + ] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "7e1bf901-73cb-4a29-8843-27b3cc756bb1", + "port": "inlabel" + } + }, + { + "source": { + "block": "fc243ba8-9abb-4a22-81c4-fabdf043cd01", + "port": "out" + }, + "target": { + "block": "2378a6da-a057-4c61-9eb0-e36b6861059f", + "port": "inlabel" + } + }, + { + "source": { + "block": "97800965-0802-4a8f-9ed0-e61db33ae442", + "port": "outlabel" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "bc3416e4-576f-40fb-85a9-5058b9f85395", + "port": "constant-out" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + }, + "vertices": [] + }, + { + "source": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "34ac83a6-30cb-4ba5-b84e-c2d318713033", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "8d656647-f4ea-475e-b4c1-54787973b618", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "0e6a9a81-8521-4ade-8012-71915b39ae41" + }, + "target": { + "block": "3c8c3d3d-8a1f-45a1-8ba9-0d019e0c041c", + "port": "d4a339a0-7bdb-4695-8f6b-93ea4a70b36a" + }, + "vertices": [] + }, + { + "source": { + "block": "4eb098a5-9671-4946-b827-ce276e6a94f2", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "5929fa8c-d037-406b-b5aa-9e52e71f2832", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + } + ] + } + } + }, + "febcfed8636b8ee9a98750b96ed9e53a165dd4a8": { + "package": { + "name": "bit-1", + "version": "0.2", + "description": "Constant bit 1", + "author": "Jesus Arroyo", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%2289.79%22%20height=%22185.093%22%20viewBox=%220%200%2084.179064%20173.52585%22%3E%3Cpath%20d=%22M7.702%2032.42L49.972%200l34.207%207.725-27.333%20116.736-26.607-6.01L51.26%2025.273%2020.023%2044.2z%22%20fill=%22green%22%20fill-rule=%22evenodd%22/%3E%3Cpath%20d=%22M46.13%20117.28l21.355%2028.258-17.91%2021.368%206.198%205.513m-14.033-54.45l-12.4%2028.26-28.242%205.512%202.067%208.959%22%20fill=%22none%22%20stroke=%22green%22%20stroke-width=%222.196%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 456, + "y": 120 + } + }, + { + "id": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "type": "basic.code", + "data": { + "code": "//-- Constant bit-1\nassign q = 1'b1;\n\n", + "params": [], + "ports": { + "in": [], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 168, + "y": 112 + }, + "size": { + "width": 248, + "height": 80 + } + } + ], + "wires": [ + { + "source": { + "block": "61331ec5-2c56-4cdd-b607-e63b1502fa65", + "port": "q" + }, + "target": { + "block": "3d584b0a-29eb-47af-8c43-c0822282ef05", + "port": "in" + } + } + ] + } + } + }, + "af1249dd8499dcb762669b3f85e622ba72d7e068": { + "package": { + "name": "syscounter-rst-5bits", + "version": "0.1", + "description": "5-bits Syscounter with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22302.843%22%20height=%22186.504%22%20viewBox=%220%200%2080.127205%2049.345746%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-65.585%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618592156430 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": -120 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 368, + "y": -120 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -32 + } + }, + { + "id": "4fa14254-0eea-4855-9ae2-59549c7c182e", + "type": "basic.output", + "data": { + "name": "q", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 1216, + "y": -32 + } + }, + { + "id": "6728956d-b536-4d18-ad47-bbdad2b5e197", + "type": "basic.outputLabel", + "data": { + "name": "q", + "range": "[4:0]", + "blockColor": "fuchsia", + "size": 5 + }, + "position": { + "x": 1040, + "y": -32 + } + }, + { + "id": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "type": "basic.output", + "data": { + "name": "max" + }, + "position": { + "x": 1208, + "y": 56 + } + }, + { + "id": "06c393de-8af4-4b53-852c-b0e0160b5314", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c" + }, + "position": { + "x": 1048, + "y": 56 + } + }, + { + "id": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 216, + "y": 56 + } + }, + { + "id": "09717685-31bc-4de3-84fc-e86b8975cbaf", + "type": "basic.inputLabel", + "data": { + "name": "q", + "range": "[4:0]", + "pins": [ + { + "index": "4", + "name": "", + "value": "" + }, + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": 704, + "y": 56 + } + }, + { + "id": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "c", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 856, + "y": 144 + } + }, + { + "id": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "type": "6ed6691b76f113dbb71afaae8246c1591b8f4332", + "position": { + "x": 544, + "y": 40 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "48d40786-0298-4968-b3a0-bd7624587ffc", + "type": "d0bb3055ff625e374277a6d54d37af62949a49bc", + "position": { + "x": 704, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "48d40786-0298-4968-b3a0-bd7624587ffc", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "cd9b7fce-c943-4b4c-a716-94f2cd21ccda", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "06c393de-8af4-4b53-852c-b0e0160b5314", + "port": "outlabel" + }, + "target": { + "block": "88e0e872-0460-4ecc-bf26-8a7a5598a024", + "port": "in" + } + }, + { + "source": { + "block": "6728956d-b536-4d18-ad47-bbdad2b5e197", + "port": "outlabel" + }, + "target": { + "block": "4fa14254-0eea-4855-9ae2-59549c7c182e", + "port": "in", + "size": 5 + }, + "size": 5 + }, + { + "source": { + "block": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "port": "939f47c5-9653-4adf-a5fc-740c3119cb28", + "size": 5 + }, + "target": { + "block": "09717685-31bc-4de3-84fc-e86b8975cbaf", + "port": "inlabel" + }, + "size": 5 + }, + { + "source": { + "block": "2a921d76-1cbd-48fe-82a9-11b0ab4dbc06", + "port": "out" + }, + "target": { + "block": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + } + }, + { + "source": { + "block": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "port": "939f47c5-9653-4adf-a5fc-740c3119cb28" + }, + "target": { + "block": "48d40786-0298-4968-b3a0-bd7624587ffc", + "port": "80c98810-45f6-4743-aa5f-9d4b65dd89f4" + }, + "size": 5 + }, + { + "source": { + "block": "48d40786-0298-4968-b3a0-bd7624587ffc", + "port": "a2e7216d-59eb-43ac-a7fe-b24c819f3f06" + }, + "target": { + "block": "077131af-e3d3-4ea4-bc9d-b3dae811507a", + "port": "0fbd70bd-c58c-49ec-9554-e20090ed7478" + }, + "vertices": [ + { + "x": 840, + "y": 264 + } + ], + "size": 5 + } + ] + } + } + }, + "6ed6691b76f113dbb71afaae8246c1591b8f4332": { + "package": { + "name": "DFF-rst-x05", + "version": "0.1", + "description": "DFF-rst-x05: Five D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -496, + "y": -256 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -256 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -488, + "y": -184 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -184 + } + }, + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -152 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -16, + "y": -88 + } + }, + { + "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -48, + "y": 48 + } + }, + { + "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -48, + "y": 104 + } + }, + { + "id": "939f47c5-9653-4adf-a5fc-740c3119cb28", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 512, + "y": 128 + } + }, + { + "id": "0fbd70bd-c58c-49ec-9554-e20090ed7478", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": -472, + "y": 160 + } + }, + { + "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 144, + "y": -88 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "type": "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d", + "position": { + "x": 144, + "y": 128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "d4a4175e-b3d6-40e1-8bf9-d7e147b26fb6", + "type": "91f34c6a4c46f3eb4479d6090ae1d29c05788ace", + "position": { + "x": -320, + "y": 160 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "9c8c5957-9ade-4533-92d6-e1efd5ab0682", + "type": "cdce799110ee37492653f85b55b2a21702b3f96d", + "position": { + "x": 360, + "y": 128 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "159f86de-af0f-4f1a-9a93-7e8149d5d322" + }, + "vertices": [ + { + "x": 64, + "y": 152 + } + ] + }, + { + "source": { + "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "port": "outlabel" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6" + } + }, + { + "source": { + "block": "0fbd70bd-c58c-49ec-9554-e20090ed7478", + "port": "out" + }, + "target": { + "block": "d4a4175e-b3d6-40e1-8bf9-d7e147b26fb6", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "d4a4175e-b3d6-40e1-8bf9-d7e147b26fb6", + "port": "46d0d18b-5e8f-449d-9c4d-b396e055fe23" + }, + "target": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "26833303-0a1a-4750-8546-b98e2fdfdd82" + }, + "size": 4 + }, + { + "source": { + "block": "d4a4175e-b3d6-40e1-8bf9-d7e147b26fb6", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + }, + "vertices": [ + { + "x": -160, + "y": 40 + } + ] + }, + { + "source": { + "block": "f071a293-16e9-4c8b-8494-14b7f15033a3", + "port": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b" + }, + "target": { + "block": "9c8c5957-9ade-4533-92d6-e1efd5ab0682", + "port": "7a06b833-8809-4020-878d-00d0dd758dce" + }, + "size": 4 + }, + { + "source": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "9c8c5957-9ade-4533-92d6-e1efd5ab0682", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "9c8c5957-9ade-4533-92d6-e1efd5ab0682", + "port": "1f598ff6-6be6-4341-93da-b85d95412fc0" + }, + "target": { + "block": "939f47c5-9653-4adf-a5fc-740c3119cb28", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "2be0f80ece8db75007def664695ef5f257f88b99": { + "package": { + "name": "DFF-rst-x01", + "version": "0.2", + "description": "DFF-rst-x01: D Flip flop with reset input. When rst=1, the DFF is 0", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22196.313%22%20height=%22216.83%22%20viewBox=%220%200%2051.941051%2057.369679%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20transform=%22translate(-52.22%20-48.028)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2279.352%22%20y=%2253.67%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M77.902%2088.18l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M70.517%2080.116l-9.232-19.613-6.45%203.725-2.07-3.584%2020.905-12.07%202.07%203.584-6.093%203.518%2012.03%2018.222s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.773L69.412%2093.049s-2.848-3.696-2.16-6.796c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2281.296%22%20y=%2263.239%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1617895961660 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 200, + "y": -264 + } + }, + { + "id": "4b0bae4c-c796-429c-ac44-785afc2f4c89", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 352, + "y": -264 + } + }, + { + "id": "4caec7c8-0763-44fc-9b7b-110e0260b74b", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 584, + "y": -128 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 856, + "y": -56 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 200, + "y": -56 + } + }, + { + "id": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 200, + "y": 80 + } + }, + { + "id": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 712, + "y": -160 + } + }, + { + "id": "3238f8fb-ade5-4d95-a02d-692defd900d6", + "type": "basic.info", + "data": { + "info": "Reset input: Active high \nWhen rst = 1, the DFF is reset to 0", + "readonly": true + }, + "position": { + "x": 184, + "y": -120 + }, + "size": { + "width": 272, + "height": 48 + } + }, + { + "id": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "type": "3676a00f3a70e406487ed14b901daf3e4984e63d", + "position": { + "x": 360, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 536, + "y": -40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "ca985673-a11d-42a0-926c-d564fe02b723", + "type": "basic.info", + "data": { + "info": "Data input", + "readonly": true + }, + "position": { + "x": 216, + "y": 56 + }, + "size": { + "width": 104, + "height": 40 + } + }, + { + "id": "f36afb1b-0486-4fcf-98ad-a8b6d64ab550", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": -304 + }, + "size": { + "width": 144, + "height": 40 + } + }, + { + "id": "9833aab1-3c8e-40a6-859b-ce1960837256", + "type": "basic.info", + "data": { + "info": "Initial default \nvalue: 0", + "readonly": true + }, + "position": { + "x": 720, + "y": -200 + }, + "size": { + "width": 152, + "height": 64 + } + }, + { + "id": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "type": "053dc2e26797e60dd454402e395eb23f388681b9", + "position": { + "x": 712, + "y": -56 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "e5f6cfba-f822-4d53-a924-926407bc4e3f", + "port": "out" + }, + "target": { + "block": "4b0bae4c-c796-429c-ac44-785afc2f4c89", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "4caec7c8-0763-44fc-9b7b-110e0260b74b", + "port": "outlabel" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + }, + "vertices": [] + }, + { + "source": { + "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [] + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "4d2e11c4-2f46-4c0a-9d1a-b641b417d495", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0da42cdc-7d03-494b-acec-9b2095f8d663", + "port": "out" + }, + "target": { + "block": "c5b4454d-c8bc-420b-b7b6-ad21ca8304e0", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "63e9825a-4f37-4c3c-b118-e2bbd28d5ac7", + "port": "constant-out" + }, + "target": { + "block": "9abbb3b1-2218-4aa2-bb22-add4c00ec265", + "port": "65194b18-5d2a-41b2-bd86-01be99978ad6" + } + } + ] + } + } + }, + "5c75f6e4f841e85f7c34d83b9cc081ef669dd12d": { + "package": { + "name": "DFF-rst-x04", + "version": "0.1", + "description": "DFF-rst-x04: Three D flip-flops in paralell with reset", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22252.294%22%20height=%22220.368%22%20viewBox=%220%200%2066.75283%2058.305748%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2041.088L39.29%2057.76l-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2033.024L9.066%2013.41l-6.45%203.724-2.07-3.583%2020.905-12.07%202.069%203.584-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.773L17.192%2045.956s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M42.022%2040.152l13.607%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.638%2032.088l-9.232-19.613-6.451%203.724-2.07-3.583L37.792.546%2039.86%204.13l-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.137%202.766%202.52%204.92%202.887%204.772L33.532%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-38.356%20-17.732)%22%3E%3Crect%20width=%2224.412%22%20height=%2213.185%22%20x=%2280.3%22%20y=%2221.375%22%20ry=%222.247%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%22.794%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:1.25%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22400%22%20font-size=%2210.583%22%20font-family=%22sans-serif%22%20fill=%22#00f%22%20stroke-width=%22.265%22%3E%3Ctspan%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20x=%2282.245%22%20y=%2230.943%22%20font-weight=%22700%22%3ESys%3C/tspan%3E%3C/text%3E%3C/g%3E%3C/svg%3E", + "otid": 1618509859237 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -160, + "y": -336 + } + }, + { + "id": "35ac40b6-de26-493f-b22e-d15895042a60", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -168, + "y": -280 + } + }, + { + "id": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -496, + "y": -256 + } + }, + { + "id": "0a772657-8018-424d-8f04-75d3ffff3692", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -256 + } + }, + { + "id": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -200 + } + }, + { + "id": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": -488, + "y": -184 + } + }, + { + "id": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -344, + "y": -184 + } + }, + { + "id": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 112, + "y": -112 + } + }, + { + "id": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 376, + "y": -8 + } + }, + { + "id": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 376, + "y": 80 + } + }, + { + "id": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", + "type": "basic.output", + "data": { + "name": "", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1032, + "y": 184 + } + }, + { + "id": "7f915e41-616b-42d0-b6b3-93e8312f9091", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 528, + "y": 208 + } + }, + { + "id": "26833303-0a1a-4750-8546-b98e2fdfdd82", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -336, + "y": 224 + } + }, + { + "id": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "rst", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 520, + "y": 264 + } + }, + { + "id": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": 192 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 848, + "y": 152 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 672, + "y": 264 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 520, + "y": 64 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "ef954a48-69f2-4704-9719-698826029980", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": 272, + "y": -128 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "type": "2be0f80ece8db75007def664695ef5f257f88b99", + "position": { + "x": -16, + "y": -296 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "ca7c5f10-efb6-43f9-9364-52d751fc0bc6", + "port": "out" + }, + "target": { + "block": "0a772657-8018-424d-8f04-75d3ffff3692", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "b9359b10-b14f-4dd7-adf9-3f4f22bc65e6", + "port": "outlabel" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "7f915e41-616b-42d0-b6b3-93e8312f9091", + "port": "outlabel" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "159f86de-af0f-4f1a-9a93-7e8149d5d322", + "port": "out" + }, + "target": { + "block": "eae23d49-1710-4ffb-9162-8c4238e16af9", + "port": "inlabel" + } + }, + { + "source": { + "block": "ff33bbea-7f70-4f0f-b74d-6bcb9f41d0ba", + "port": "outlabel" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "a47f4645-6751-4e16-8293-52d8f8cfc9f1", + "port": "outlabel" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "719d7e53-bdb2-40e8-8bed-c86a12749e88", + "port": "outlabel" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "fdb3de4d-1a1f-420d-83f5-652d30cd8ca3", + "port": "outlabel" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "7a94a774-819e-4796-ad92-2b9f0a06e828", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "e5f6cfba-f822-4d53-a924-926407bc4e3f" + } + }, + { + "source": { + "block": "35ac40b6-de26-493f-b22e-d15895042a60", + "port": "outlabel" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + }, + "vertices": [ + { + "x": 712, + "y": 128 + } + ] + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "795fee35-1b0d-4780-afe4-25451dc8b7b3", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "0f69a2a7-39f9-4ed1-be11-0e7ee877a076", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + }, + "vertices": [ + { + "x": -48, + "y": 312 + } + ] + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "ef954a48-69f2-4704-9719-698826029980", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 768, + "y": 72 + } + ] + }, + { + "source": { + "block": "26833303-0a1a-4750-8546-b98e2fdfdd82", + "port": "out" + }, + "target": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "571d3fa4-680d-4422-92c6-b3b1dcd4fa8b", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "48335216-c02f-4e23-8a04-e3d4eaa032ab", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "0da42cdc-7d03-494b-acec-9b2095f8d663" + } + }, + { + "source": { + "block": "e76b0273-be54-4e06-ab90-45b1a08d31e4", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "ac2e4720-4af2-481e-9d20-d935321e31f2", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "cdce799110ee37492653f85b55b2a21702b3f96d": { + "package": { + "name": "Bus5-Join-1-4", + "version": "0.1", + "description": "Bus5-Join-1-4: Join the two buses of 1 and 4 bits into a 5-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 128, + "y": 184 + } + }, + { + "id": "1f598ff6-6be6-4341-93da-b85d95412fc0", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 712, + "y": 232 + } + }, + { + "id": "7a06b833-8809-4020-878d-00d0dd758dce", + "type": "basic.input", + "data": { + "name": "0", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": 120, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0", + "range": "[3:0]", + "size": 4 + } + ], + "out": [ + { + "name": "o", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 352, + "height": 168 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1f598ff6-6be6-4341-93da-b85d95412fc0", + "port": "in" + }, + "size": 5 + }, + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "7a06b833-8809-4020-878d-00d0dd758dce", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 4 + } + ] + } + } + }, + "d0bb3055ff625e374277a6d54d37af62949a49bc": { + "package": { + "name": "Inc1-5bits", + "version": "0.1", + "description": "Inc1-5bit: Increment a 5-bits number by one", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22387.891%22%20height=%22310.09%22%20viewBox=%220%200%20363.64764%20290.70999%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.759%2055.834v79.813h79.508v35.946H115.76v79.813h-36.25v-79.813H-.002v-35.946h79.51V55.834z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3Cpath%20d=%22M196.193%20257.608h64.256V35.828l-69.902%2014.02V14.018L260.06%200h39.332v257.608h64.257v33.102H196.193z%22%20style=%22line-height:1.25%22%20font-size=%22398.777%22%20stroke-width=%229.969%22/%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "80c98810-45f6-4743-aa5f-9d4b65dd89f4", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "a2e7216d-59eb-43ac-a7fe-b24c819f3f06", + "type": "basic.output", + "data": { + "name": "s", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 632, + "y": -104 + } + }, + { + "id": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "type": "basic.constant", + "data": { + "name": "", + "value": "1", + "local": true + }, + "position": { + "x": 456, + "y": -256 + } + }, + { + "id": "733746b9-cc9e-4f59-8a40-de68610b1de6", + "type": "a17f79ccbae354330dc3a66496ac295fea65c127", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "733746b9-cc9e-4f59-8a40-de68610b1de6", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "cd0d6f4d-9898-4114-94a9-0b4b98223c27", + "port": "constant-out" + }, + "target": { + "block": "733746b9-cc9e-4f59-8a40-de68610b1de6", + "port": "e728fdca-755f-4f2d-98e7-0716b1c5258f" + } + }, + { + "source": { + "block": "80c98810-45f6-4743-aa5f-9d4b65dd89f4", + "port": "out" + }, + "target": { + "block": "733746b9-cc9e-4f59-8a40-de68610b1de6", + "port": "b04355eb-2a68-4fdb-9345-eb6bfa681e6e" + }, + "size": 5 + }, + { + "source": { + "block": "733746b9-cc9e-4f59-8a40-de68610b1de6", + "port": "1c29ac50-6bbc-41a7-bb2b-724182b6ac5b" + }, + "target": { + "block": "a2e7216d-59eb-43ac-a7fe-b24c819f3f06", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "a17f79ccbae354330dc3a66496ac295fea65c127": { + "package": { + "name": "AdderK-5bits", + "version": "0.1", + "description": "AdderK-5bit: Adder of 5-bit operand and 5-bit constant", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 624, + "y": -168 + } + }, + { + "id": "1c29ac50-6bbc-41a7-bb2b-724182b6ac5b", + "type": "basic.output", + "data": { + "name": "s", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 624, + "y": -88 + } + }, + { + "id": "b04355eb-2a68-4fdb-9345-eb6bfa681e6e", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 304, + "y": -72 + } + }, + { + "id": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 288, + "y": -272 + } + }, + { + "id": "10a3d387-c0b8-479d-b05e-89f81b110967", + "type": "0cfc7a3fa4e5ad592ec8ad605d5ee628e8df631f", + "position": { + "x": 456, + "y": -152 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "98167935-26a4-4453-945e-eb51af203c9c", + "type": "3693fc9b584708d90c97b2006ba4b876c069bfbb", + "position": { + "x": 288, + "y": -168 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "10a3d387-c0b8-479d-b05e-89f81b110967", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "e728fdca-755f-4f2d-98e7-0716b1c5258f", + "port": "constant-out" + }, + "target": { + "block": "98167935-26a4-4453-945e-eb51af203c9c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "98167935-26a4-4453-945e-eb51af203c9c", + "port": "a7d148e6-3b95-44f3-b6d9-949c71147bbc" + }, + "target": { + "block": "10a3d387-c0b8-479d-b05e-89f81b110967", + "port": "7ca3e2b6-0865-42b4-94e2-b7e9d2f99fec" + }, + "size": 5 + }, + { + "source": { + "block": "b04355eb-2a68-4fdb-9345-eb6bfa681e6e", + "port": "out" + }, + "target": { + "block": "10a3d387-c0b8-479d-b05e-89f81b110967", + "port": "13584715-44d5-4d2d-8697-8826a08fe65e" + }, + "size": 5 + }, + { + "source": { + "block": "10a3d387-c0b8-479d-b05e-89f81b110967", + "port": "686c7922-c3c9-4e75-b2f1-f419825f9a0e" + }, + "target": { + "block": "1c29ac50-6bbc-41a7-bb2b-724182b6ac5b", + "port": "in" + }, + "size": 5 + } + ] + } + } + }, + "0cfc7a3fa4e5ad592ec8ad605d5ee628e8df631f": { + "package": { + "name": "Adder-5bits", + "version": "0.1", + "description": "Adder-5bits: Adder of two operands of 5 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -704 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 904, + "y": -696 + } + }, + { + "id": "7ca3e2b6-0865-42b4-94e2-b7e9d2f99fec", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": -320, + "y": -632 + } + }, + { + "id": "ccbdc543-eaa7-41be-ad0b-ebe9d52071d9", + "type": "basic.inputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia", + "blockColor": "deeppink" + }, + "position": { + "x": 16, + "y": -616 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 352, + "y": -576 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 352, + "y": -512 + } + }, + { + "id": "686c7922-c3c9-4e75-b2f1-f419825f9a0e", + "type": "basic.output", + "data": { + "name": "s", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 904, + "y": -432 + } + }, + { + "id": "8f54785f-fa96-4c43-9660-64cd62def2bd", + "type": "basic.outputLabel", + "data": { + "name": "a0", + "range": "[3:0]", + "blockColor": "deeppink", + "size": 4 + }, + "position": { + "x": 176, + "y": -432 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -8, + "y": -424 + } + }, + { + "id": "13584715-44d5-4d2d-8697-8826a08fe65e", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": -320, + "y": -368 + } + }, + { + "id": "bb9b370c-5f06-4916-9ea4-7bd73f87a65b", + "type": "basic.outputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "blockColor": "fuchsia", + "size": 4 + }, + "position": { + "x": 176, + "y": -368 + } + }, + { + "id": "1fd9258b-7128-4e37-9a10-9842d1a8fbc5", + "type": "basic.inputLabel", + "data": { + "name": "b0", + "range": "[3:0]", + "pins": [ + { + "index": "3", + "name": "", + "value": "" + }, + { + "index": "2", + "name": "", + "value": "" + }, + { + "index": "1", + "name": "", + "value": "" + }, + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true, + "blockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -352 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 488, + "y": -528 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "2381c690-f1c0-4f77-8be4-a539636a7c5c", + "type": "91f34c6a4c46f3eb4479d6090ae1d29c05788ace", + "position": { + "x": -168, + "y": -368 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "c3bcf54c-7c6f-4fa6-9649-ef14607afc1b", + "type": "91f34c6a4c46f3eb4479d6090ae1d29c05788ace", + "position": { + "x": -152, + "y": -632 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "280803dc-1440-4de2-8cd9-212b6e358afe", + "type": "25966b9480fc28011aea0e17452c30d5ff9d76e8", + "position": { + "x": 344, + "y": -384 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d5a18ecf-28bb-4bb0-9118-68a3d239532c", + "type": "cdce799110ee37492653f85b55b2a21702b3f96d", + "position": { + "x": 728, + "y": -432 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "2381c690-f1c0-4f77-8be4-a539636a7c5c", + "port": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "size": 4 + }, + "target": { + "block": "1fd9258b-7128-4e37-9a10-9842d1a8fbc5", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "2381c690-f1c0-4f77-8be4-a539636a7c5c", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "c3bcf54c-7c6f-4fa6-9649-ef14607afc1b", + "port": "46d0d18b-5e8f-449d-9c4d-b396e055fe23", + "size": 4 + }, + "target": { + "block": "ccbdc543-eaa7-41be-ad0b-ebe9d52071d9", + "port": "inlabel" + }, + "size": 4 + }, + { + "source": { + "block": "c3bcf54c-7c6f-4fa6-9649-ef14607afc1b", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "bb9b370c-5f06-4916-9ea4-7bd73f87a65b", + "port": "outlabel" + }, + "target": { + "block": "280803dc-1440-4de2-8cd9-212b6e358afe", + "port": "d192d0af-e7be-4be6-925a-50227d80784c", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "8f54785f-fa96-4c43-9660-64cd62def2bd", + "port": "outlabel" + }, + "target": { + "block": "280803dc-1440-4de2-8cd9-212b6e358afe", + "port": "c2d36218-be99-4dd1-bf69-7690c667255b", + "size": 4 + }, + "size": 4 + }, + { + "source": { + "block": "280803dc-1440-4de2-8cd9-212b6e358afe", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "d5a18ecf-28bb-4bb0-9118-68a3d239532c", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "13584715-44d5-4d2d-8697-8826a08fe65e", + "port": "out" + }, + "target": { + "block": "2381c690-f1c0-4f77-8be4-a539636a7c5c", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "7ca3e2b6-0865-42b4-94e2-b7e9d2f99fec", + "port": "out" + }, + "target": { + "block": "c3bcf54c-7c6f-4fa6-9649-ef14607afc1b", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "d5a18ecf-28bb-4bb0-9118-68a3d239532c", + "port": "1f598ff6-6be6-4341-93da-b85d95412fc0" + }, + "target": { + "block": "686c7922-c3c9-4e75-b2f1-f419825f9a0e", + "port": "in" + }, + "size": 5 + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "280803dc-1440-4de2-8cd9-212b6e358afe", + "port": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42" + }, + "target": { + "block": "d5a18ecf-28bb-4bb0-9118-68a3d239532c", + "port": "7a06b833-8809-4020-878d-00d0dd758dce" + }, + "size": 4 + } + ] + } + } + }, + "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a": { + "package": { + "name": "AdderC-1bit", + "version": "0.1", + "description": "AdderC-1bit: Adder of two operands of 1 bit plus the carry in", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 504, + "y": -208 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "a", + "clock": false + }, + "position": { + "x": 136, + "y": -192 + } + }, + { + "id": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -192 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 1112, + "y": -176 + } + }, + { + "id": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 504, + "y": -144 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "b", + "clock": false + }, + "position": { + "x": 136, + "y": -120 + } + }, + { + "id": "65936289-69ce-4e26-be4e-44f8a3706934", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": 272, + "y": -120 + } + }, + { + "id": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": -96 + } + }, + { + "id": "5e915366-608a-431a-bf68-8c64fb4c302c", + "type": "basic.input", + "data": { + "name": "ci", + "clock": false + }, + "position": { + "x": 136, + "y": -40 + } + }, + { + "id": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "type": "basic.inputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 272, + "y": -40 + } + }, + { + "id": "79149d67-753e-414e-ba2a-49ba4edfe523", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "a" + }, + "position": { + "x": 480, + "y": 24 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 992, + "y": 56 + } + }, + { + "id": "edf4525b-edd8-40fa-b784-631b102fb907", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b" + }, + "position": { + "x": 480, + "y": 88 + } + }, + { + "id": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "type": "basic.outputLabel", + "data": { + "blockColor": "navy", + "name": "ci", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 648, + "y": 120 + } + }, + { + "id": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 648, + "y": 40 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 832, + "y": 56 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 808, + "y": -80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 632, + "y": -192 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dd3259d5-99f6-47f2-881c-4e969e324444", + "type": "873425949b2a80f1a7f66f320796bcd068a59889", + "position": { + "x": 960, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "f2c3e9af-37a6-4815-9413-c437d2471b1f", + "port": "inlabel" + } + }, + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "65936289-69ce-4e26-be4e-44f8a3706934", + "port": "inlabel" + } + }, + { + "source": { + "block": "5e915366-608a-431a-bf68-8c64fb4c302c", + "port": "out" + }, + "target": { + "block": "9fca1dd5-3d6c-4321-a1cf-fd35336e41ea", + "port": "inlabel" + } + }, + { + "source": { + "block": "79149d67-753e-414e-ba2a-49ba4edfe523", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "edf4525b-edd8-40fa-b784-631b102fb907", + "port": "outlabel" + }, + "target": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "35a4f7cc-d7ef-4653-af75-fe112a5f5151", + "port": "outlabel" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "6b7a0fc7-9eeb-4894-98fd-e0122d08cdf0", + "port": "outlabel" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "b28215b2-e375-43cd-96b4-ed5b60418dc6", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "cdbf1806-fde2-4763-aeae-cd26f3db2a86", + "port": "outlabel" + }, + "target": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "6756cb54-aa93-40af-a5f3-41ef2b2edec9", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + }, + { + "source": { + "block": "687d4abf-9ce2-408a-8010-c00e2cec2d44", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "f7053b6b-9812-48df-9212-cb8dc49d44cd", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "d77ee777-f5ad-4f89-832b-e1f95f0cf9db", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "dd3259d5-99f6-47f2-881c-4e969e324444", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "25966b9480fc28011aea0e17452c30d5ff9d76e8": { + "package": { + "name": "Adder-4bits", + "version": "0.1", + "description": "Adder-4bits: Adder of two operands of 4 bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -744 + } + }, + { + "id": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a3", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 576, + "y": -736 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 920, + "y": -696 + } + }, + { + "id": "73a0a48e-d345-4eda-8603-782e9865d928", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -688 + } + }, + { + "id": "05fb13c5-879a-422f-84a8-56d1db8816da", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 576, + "y": -672 + } + }, + { + "id": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "deeppink" + }, + "position": { + "x": 8, + "y": -632 + } + }, + { + "id": "c2d36218-be99-4dd1-bf69-7690c667255b", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -632 + } + }, + { + "id": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a2", + "oldBlockColor": "deeppink" + }, + "position": { + "x": 456, + "y": -584 + } + }, + { + "id": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "type": "basic.inputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 8, + "y": -576 + } + }, + { + "id": "3c8597e6-ca79-494a-9a53-04c284205216", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 456, + "y": -528 + } + }, + { + "id": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b3", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -448 + } + }, + { + "id": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a1", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 328, + "y": -440 + } + }, + { + "id": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b2", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -8, + "y": -384 + } + }, + { + "id": "d192d0af-e7be-4be6-925a-50227d80784c", + "type": "basic.input", + "data": { + "name": "", + "range": "[3:0]", + "clock": false, + "size": 4 + }, + "position": { + "x": -312, + "y": -384 + } + }, + { + "id": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1" + }, + "position": { + "x": 328, + "y": -376 + } + }, + { + "id": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "type": "basic.output", + "data": { + "name": "s", + "range": "[3:0]", + "size": 4 + }, + "position": { + "x": 1064, + "y": -368 + } + }, + { + "id": "527c9113-e440-454b-b427-182b646c10f5", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b1", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -16, + "y": -320 + } + }, + { + "id": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "type": "basic.outputLabel", + "data": { + "blockColor": "deeppink", + "name": "a0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -296 + } + }, + { + "id": "b652825e-10ba-47cc-9832-e39d73586234", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": -32, + "y": -264 + } + }, + { + "id": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "b0", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 184, + "y": -232 + } + }, + { + "id": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "type": "1ea21df64c18f01d8f4b905c437ccf0ca332baf6", + "position": { + "x": 320, + "y": -248 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 464, + "y": -392 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 608, + "y": -544 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -168, + "y": -416 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "57e59301-2919-4f06-ba95-54ce5d99d774", + "type": "c4f23ad05c2010ec9bd213c8814c9238873037ae", + "position": { + "x": -152, + "y": -664 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "11342001-e6e0-443b-af87-0e5d7ada0227", + "type": "84f0a15761ee8b753f67079819a7614923939472", + "position": { + "x": 896, + "y": -400 + }, + "size": { + "width": 96, + "height": 128 + } + }, + { + "id": "72c87c65-b34b-480f-8cde-cd97c0914014", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 744, + "y": -688 + }, + "size": { + "width": 96, + "height": 96 + } + } + ], + "wires": [ + { + "source": { + "block": "038a8cb8-35b8-424a-9d14-c320cfcddccf", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "527c9113-e440-454b-b427-182b646c10f5", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "b652825e-10ba-47cc-9832-e39d73586234", + "port": "inlabel" + } + }, + { + "source": { + "block": "7e5351cc-ea73-4bb2-9dda-0390f005b90b", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "f8dceaf6-6020-49e5-b775-ed4821fbd5bd", + "port": "outlabel" + }, + "target": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "d7d5e8c8-78dd-41a8-aedf-1051b89bfb77", + "port": "inlabel" + } + }, + { + "source": { + "block": "c5dce3e4-5ecc-4fc9-bbf6-7c1adfa1c7ff", + "port": "outlabel" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a30f87da-7077-4ad6-92dd-adbfdd1bed45", + "port": "inlabel" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "fb8bbeb1-5c60-4629-bc13-231545818d0b", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "73a0a48e-d345-4eda-8603-782e9865d928", + "port": "inlabel" + } + }, + { + "source": { + "block": "3c8597e6-ca79-494a-9a53-04c284205216", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "ed7a30bc-17fc-444e-89e5-aa9f83b32e86", + "port": "outlabel" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "ee992ca6-d586-4901-aaa3-a17d94ddac84", + "port": "inlabel" + } + }, + { + "source": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "1c9b3962-5793-4e6f-98d4-9ca2113d0823", + "port": "inlabel" + }, + "vertices": [ + { + "x": -24, + "y": -696 + } + ] + }, + { + "source": { + "block": "05fb13c5-879a-422f-84a8-56d1db8816da", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "161a3e27-de9b-4674-b990-c1e8314a29f3", + "port": "outlabel" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "e7d0a130-cf6b-4220-a06f-42543db0214f", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "dc4b3359-4017-40dd-9c0b-a1afde43f9e1", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 824, + "y": -424 + } + ] + }, + { + "source": { + "block": "d192d0af-e7be-4be6-925a-50227d80784c", + "port": "out" + }, + "target": { + "block": "b21b50b9-8a60-4df4-9c1a-8d3a287fae68", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "c2d36218-be99-4dd1-bf69-7690c667255b", + "port": "out" + }, + "target": { + "block": "57e59301-2919-4f06-ba95-54ce5d99d774", + "port": "0ebd46a4-769b-45e6-bcaf-e3796264aed3" + }, + "size": 4 + }, + { + "source": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "55180947-6349-4a04-a151-ad69ea2b155e" + }, + "target": { + "block": "7c4d05e4-b602-456e-9cf2-9bc6f3a44c42", + "port": "in" + }, + "size": 4 + }, + { + "source": { + "block": "887d569f-183e-4cbe-9b43-51d1da7196cd", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + }, + "vertices": [ + { + "x": 728, + "y": -552 + } + ] + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "72c87c65-b34b-480f-8cde-cd97c0914014", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "11342001-e6e0-443b-af87-0e5d7ada0227", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + } + } + ] + } + } + }, + "1ea21df64c18f01d8f4b905c437ccf0ca332baf6": { + "package": { + "name": "Adder-1bit", + "version": "0.1", + "description": "Adder-1bit: Adder of two operands of 1 bit", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20width=%22208.285%22%20height=%22208.61%22%20viewBox=%220%200%20195.2669%20195.57218%22%20xmlns=%22http://www.w3.org/2000/svg%22%3E%3Cg%20style=%22line-height:125%25%22%3E%3Cpath%20d=%22M115.76%200v79.813h79.508v35.946h-79.509v79.813H79.51V115.76H0V79.813h79.508V0z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20fill=%22#00f%22%20font-size=%22311.941%22%20letter-spacing=%220%22%20word-spacing=%220%22%20stroke-width=%224.441%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1618560481898 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -224 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "c" + }, + "position": { + "x": 616, + "y": -176 + } + }, + { + "id": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 280, + "y": -152 + } + }, + { + "id": "c7d2d573-b901-420e-a923-6832e5b18e34", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 616, + "y": -96 + } + }, + { + "id": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "type": "ad119ba8cc72b122da03cd3d251ffce5e8dc6e9a", + "position": { + "x": 456, + "y": -168 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "e80cc244-1751-4524-9f77-734a36b24a88", + "type": "d30ca9ee4f35f6cb76d5e5701447fc2b739bc640", + "position": { + "x": 304, + "y": -64 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c25ede2a-5b9b-43af-bd6b-0ac539a135ba" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 400, + "y": -168 + } + ] + }, + { + "source": { + "block": "e80cc244-1751-4524-9f77-734a36b24a88", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "5e915366-608a-431a-bf68-8c64fb4c302c" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "0ef44beb-f4b1-484c-b15a-dc4431241aee", + "port": "c7d2d573-b901-420e-a923-6832e5b18e34" + }, + "target": { + "block": "c7d2d573-b901-420e-a923-6832e5b18e34", + "port": "in" + } + } + ] + } + } + }, + "51353d3b6cb73f3544ad435664378ce6845db9e4": { + "package": { + "name": "AND-Busen-5", + "version": "1.0", + "description": "AND-Busen-5: Enable a 5-bits bus. When the enable signal is 0, the output is 0", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22336.09%22%20height=%22194.045%22%20version=%221%22%3E%3Cpath%20d=%22M174.656%20190.045H78.304V4h96.352s87.463%208.625%2087.463%2091.94c0%2083.311-87.463%2094.105-87.463%2094.105z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2045.668h74.018M4.057%20144.812h74.018m184.632-50.034h69.326%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:125%25%22%20x=%2292.894%22%20y=%22114.587%22%20font-weight=%22400%22%20font-size=%2258.054%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2292.894%22%20y=%22114.587%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%3EAND%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "330b4409-2f23-4cea-a0d0-dd655308e5bf", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": -232, + "y": -48 + } + }, + { + "id": "1b0b230e-33f9-43ee-aa96-3407076ee925", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 656, + "y": -32 + } + }, + { + "id": "0d303f0d-398d-43f1-9e26-a95501d79457", + "type": "basic.input", + "data": { + "name": "en", + "clock": false + }, + "position": { + "x": 144, + "y": 176 + } + }, + { + "id": "a74541a3-dda3-49c3-9b43-9c1d3b1bdf22", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 296, + "y": 0 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "590e6a78-4bae-4978-aae6-1a2d8a06ef8f", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 296, + "y": 80 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3a31f3be-4e9e-4021-9359-d59e5b9fee23", + "type": "basic.info", + "data": { + "info": "Enable input", + "readonly": true + }, + "position": { + "x": 152, + "y": 152 + }, + "size": { + "width": 136, + "height": 48 + } + }, + { + "id": "cfebc77a-c174-4754-9f7c-48e4ac97a6d8", + "type": "basic.info", + "data": { + "info": "Bus input", + "readonly": true + }, + "position": { + "x": -216, + "y": -64 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "744692d3-baec-4f7d-b2b2-1af2128d711e", + "type": "basic.info", + "data": { + "info": "Bus output", + "readonly": true + }, + "position": { + "x": 672, + "y": -72 + }, + "size": { + "width": 128, + "height": 32 + } + }, + { + "id": "d5bae7b2-11d7-4422-a52d-5d42d6c9c762", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 296, + "y": -96 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "13094b7c-9154-436b-9d79-e60b892f538e", + "type": "60f5a9e269af83209891c7c98adb549104806947", + "position": { + "x": -48, + "y": -96 + }, + "size": { + "width": 96, + "height": 160 + } + }, + { + "id": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "type": "36cddd9167fc64837676e4fae8cf985a1ff83ea4", + "position": { + "x": 520, + "y": -80 + }, + "size": { + "width": 96, + "height": 160 + } + }, + { + "id": "16b5fce0-0b73-467d-a7e2-adb9f61013a7", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 296, + "y": -184 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "eaf81011-46a4-4140-bb38-cedcfdb795ce", + "type": "ba518ee261a2be13a9739cd3a01cdcebe0ef63c0", + "position": { + "x": 296, + "y": -264 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "a74541a3-dda3-49c3-9b43-9c1d3b1bdf22", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "0d303f0d-398d-43f1-9e26-a95501d79457", + "port": "out" + }, + "target": { + "block": "590e6a78-4bae-4978-aae6-1a2d8a06ef8f", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "0d303f0d-398d-43f1-9e26-a95501d79457", + "port": "out" + }, + "target": { + "block": "a74541a3-dda3-49c3-9b43-9c1d3b1bdf22", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "a74541a3-dda3-49c3-9b43-9c1d3b1bdf22", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "590e6a78-4bae-4978-aae6-1a2d8a06ef8f", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "33072210-9ba0-4659-8339-95952b939e6e" + }, + "target": { + "block": "d5bae7b2-11d7-4422-a52d-5d42d6c9c762", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 216, + "y": -64 + } + ] + }, + { + "source": { + "block": "0d303f0d-398d-43f1-9e26-a95501d79457", + "port": "out" + }, + "target": { + "block": "d5bae7b2-11d7-4422-a52d-5d42d6c9c762", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 280, + "y": 40 + } + ] + }, + { + "source": { + "block": "d5bae7b2-11d7-4422-a52d-5d42d6c9c762", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "346b4ae4-4bb6-4845-9c17-3b25e0dde718" + }, + "vertices": [ + { + "x": 408, + "y": -16 + } + ] + }, + { + "source": { + "block": "330b4409-2f23-4cea-a0d0-dd655308e5bf", + "port": "out" + }, + "target": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d" + }, + "size": 5 + }, + { + "source": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "590e6a78-4bae-4978-aae6-1a2d8a06ef8f", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 224, + "y": 72 + } + ] + }, + { + "source": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "1f598ff6-6be6-4341-93da-b85d95412fc0" + }, + "target": { + "block": "1b0b230e-33f9-43ee-aa96-3407076ee925", + "port": "in" + }, + "size": 5 + }, + { + "source": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "7ba97544-4615-4db7-a554-5b1ea6237871" + }, + "target": { + "block": "eaf81011-46a4-4140-bb38-cedcfdb795ce", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 80, + "y": -200 + } + ] + }, + { + "source": { + "block": "13094b7c-9154-436b-9d79-e60b892f538e", + "port": "bbe1895b-be8b-4237-b0d1-ae592e3e6208" + }, + "target": { + "block": "16b5fce0-0b73-467d-a7e2-adb9f61013a7", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + }, + "vertices": [ + { + "x": 96, + "y": -120 + } + ] + }, + { + "source": { + "block": "0d303f0d-398d-43f1-9e26-a95501d79457", + "port": "out" + }, + "target": { + "block": "16b5fce0-0b73-467d-a7e2-adb9f61013a7", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "0d303f0d-398d-43f1-9e26-a95501d79457", + "port": "out" + }, + "target": { + "block": "eaf81011-46a4-4140-bb38-cedcfdb795ce", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "16b5fce0-0b73-467d-a7e2-adb9f61013a7", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18" + }, + "vertices": [ + { + "x": 432, + "y": -104 + } + ] + }, + { + "source": { + "block": "eaf81011-46a4-4140-bb38-cedcfdb795ce", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4ca35161-2fa5-4687-80f3-832d9dcc597b", + "port": "447c01c5-6a58-418a-98a0-ca5f5271d4a0" + }, + "vertices": [ + { + "x": 456, + "y": -168 + } + ] + } + ] + } + } + }, + "60f5a9e269af83209891c7c98adb549104806947": { + "package": { + "name": "Bus5-Split-all", + "version": "0.1", + "description": "Bus5-Split-all: Split the 5-bits bus into its wires", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "7ba97544-4615-4db7-a554-5b1ea6237871", + "type": "basic.output", + "data": { + "name": "4" + }, + "position": { + "x": 600, + "y": 72 + } + }, + { + "id": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "type": "basic.output", + "data": { + "name": "3" + }, + "position": { + "x": 600, + "y": 144 + } + }, + { + "id": "33072210-9ba0-4659-8339-95952b939e6e", + "type": "basic.output", + "data": { + "name": "2" + }, + "position": { + "x": 608, + "y": 208 + } + }, + { + "id": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d", + "type": "basic.input", + "data": { + "name": "", + "range": "[4:0]", + "clock": false, + "size": 5 + }, + "position": { + "x": 128, + "y": 208 + } + }, + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "1" + }, + "position": { + "x": 600, + "y": 272 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "0" + }, + "position": { + "x": 576, + "y": 328 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o4 = i[4];\nassign o3 = i[3];\nassign o2 = i[2];\nassign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[4:0]", + "size": 5 + } + ], + "out": [ + { + "name": "o4" + }, + { + "name": "o3" + }, + { + "name": "o2" + }, + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 208, + "height": 120 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o2" + }, + "target": { + "block": "33072210-9ba0-4659-8339-95952b939e6e", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o3" + }, + "target": { + "block": "bbe1895b-be8b-4237-b0d1-ae592e3e6208", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o4" + }, + "target": { + "block": "7ba97544-4615-4db7-a554-5b1ea6237871", + "port": "in" + }, + "vertices": [ + { + "x": 552, + "y": 152 + } + ] + }, + { + "source": { + "block": "84c41a4d-c406-4f4a-9b7c-c538e98f7f2d", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 5 + } + ] + } + } + }, + "36cddd9167fc64837676e4fae8cf985a1ff83ea4": { + "package": { + "name": "Bus5-Join-all", + "version": "0.1", + "description": "Bus5-Join-all: Join all the wires into a 5-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "447c01c5-6a58-418a-98a0-ca5f5271d4a0", + "type": "basic.input", + "data": { + "name": "4", + "clock": false + }, + "position": { + "x": 144, + "y": 120 + } + }, + { + "id": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "type": "basic.input", + "data": { + "name": "3", + "clock": false + }, + "position": { + "x": 48, + "y": 192 + } + }, + { + "id": "1f598ff6-6be6-4341-93da-b85d95412fc0", + "type": "basic.output", + "data": { + "name": "", + "range": "[4:0]", + "size": 5 + }, + "position": { + "x": 712, + "y": 232 + } + }, + { + "id": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "type": "basic.input", + "data": { + "name": "2", + "clock": false + }, + "position": { + "x": 48, + "y": 288 + } + }, + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "1", + "clock": false + }, + "position": { + "x": 80, + "y": 360 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "0", + "clock": false + }, + "position": { + "x": 112, + "y": 416 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i4, i3, i2, i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i4" + }, + { + "name": "i3" + }, + { + "name": "i2" + }, + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[4:0]", + "size": 5 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 352, + "height": 168 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "vertices": [ + { + "x": 240, + "y": 352 + } + ] + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1f598ff6-6be6-4341-93da-b85d95412fc0", + "port": "in" + }, + "size": 5 + }, + { + "source": { + "block": "346b4ae4-4bb6-4845-9c17-3b25e0dde718", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i2" + }, + "vertices": [ + { + "x": 200, + "y": 296 + } + ] + }, + { + "source": { + "block": "6c2aa548-5a4c-4e8d-a56c-a70926b19f18", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i3" + } + }, + { + "source": { + "block": "447c01c5-6a58-418a-98a0-ca5f5271d4a0", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i4" + } + } + ] + } + } + }, + "8efab2dc4a8a2ff18fbaea8e4c9ce284db0ca362": { + "package": { + "name": "UINT8-2bits", + "version": "0.1", + "description": "UINT8-2bits: Extend a 2-bits unsigned integer to 8-bits ", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22448.598%22%20height=%22127.811%22%20viewBox=%220%200%20118.69152%2033.816643%22%3E%3Cg%20style=%22line-height:1.25%22%20font-weight=%22700%22%20font-size=%2245.504%22%20font-family=%22sans-serif%22%20fill=%22green%22%20stroke-width=%221.138%22%3E%3Cpath%20d=%22M0%200h8.554v19.886q0%204.11%201.333%205.888%201.356%201.755%204.4%201.755%203.066%200%204.399-1.755%201.355-1.778%201.355-5.888V0h8.554v19.886q0%207.043-3.532%2010.487-3.533%203.444-10.776%203.444-7.221%200-10.754-3.444Q0%2026.929%200%2019.886zM36.972%200h8.554v33.172h-8.554zM53.947%200H63.5l12.064%2022.752V0h8.11v33.172h-9.554L62.056%2010.421v22.751h-8.11zM88.119%200h30.573v6.466h-10.999v26.706H99.14V6.466H88.12z%22%20style=%22-inkscape-font-specification:'sans-serif%20Bold'%22/%3E%3C/g%3E%3C/svg%3E", + "otid": 1621785467119 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2110b07a-0d20-4fad-8cf9-cb00a7e251f3", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 920, + "y": 360 + } + }, + { + "id": "bd97a132-03c8-432d-92d9-e0d954f356d9", + "type": "basic.input", + "data": { + "name": "", + "range": "[1:0]", + "clock": false, + "size": 2 + }, + "position": { + "x": 408, + "y": 376 + } + }, + { + "id": "f34040a0-99c4-43f6-8a1e-71086d70c6bf", + "type": "2ae6c6f4418c0c187b3627376225fc4f7442bc3b", + "position": { + "x": 736, + "y": 360 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "26f2f588-9799-4e2d-84e8-48de5f413e81", + "type": "54ad57db2f7fafa55965199b8c9e67461f28766b", + "position": { + "x": 528, + "y": 288 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "f34040a0-99c4-43f6-8a1e-71086d70c6bf", + "port": "1269698e-e555-4fcb-a613-cb4c7ff2e598" + }, + "target": { + "block": "2110b07a-0d20-4fad-8cf9-cb00a7e251f3", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "bd97a132-03c8-432d-92d9-e0d954f356d9", + "port": "out" + }, + "target": { + "block": "f34040a0-99c4-43f6-8a1e-71086d70c6bf", + "port": "d27f1697-8fc0-4125-9747-9691b098cb29" + }, + "size": 2 + }, + { + "source": { + "block": "26f2f588-9799-4e2d-84e8-48de5f413e81", + "port": "d52cf263-00e9-4791-8206-8118f63755aa" + }, + "target": { + "block": "f34040a0-99c4-43f6-8a1e-71086d70c6bf", + "port": "bb4a2739-f9d5-4f5f-924f-f301bba6f988" + }, + "vertices": [ + { + "x": 656, + "y": 344 + } + ], + "size": 6 + } + ] + } + } + }, + "2ae6c6f4418c0c187b3627376225fc4f7442bc3b": { + "package": { + "name": "Bus8-Join-6-2", + "version": "0.1", + "description": "Bus8-Join-6-2: Join the two buses into an 8-bits Bus", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", + "type": "basic.input", + "data": { + "name": "1", + "range": "[5:0]", + "clock": false, + "size": 6 + }, + "position": { + "x": 128, + "y": 136 + } + }, + { + "id": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "type": "basic.output", + "data": { + "name": "", + "range": "[7:0]", + "size": 8 + }, + "position": { + "x": 640, + "y": 200 + } + }, + { + "id": "d27f1697-8fc0-4125-9747-9691b098cb29", + "type": "basic.input", + "data": { + "name": "0", + "range": "[1:0]", + "clock": false, + "size": 2 + }, + "position": { + "x": 136, + "y": 224 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1", + "range": "[5:0]", + "size": 6 + }, + { + "name": "i0", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o", + "range": "[7:0]", + "size": 8 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 272, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "1269698e-e555-4fcb-a613-cb4c7ff2e598", + "port": "in" + }, + "size": 8 + }, + { + "source": { + "block": "d27f1697-8fc0-4125-9747-9691b098cb29", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + }, + "size": 2 + }, + { + "source": { + "block": "bb4a2739-f9d5-4f5f-924f-f301bba6f988", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + }, + "size": 6 + } + ] + } + } + }, + "54ad57db2f7fafa55965199b8c9e67461f28766b": { + "package": { + "name": "6bits-Value_0", + "version": "0.0.1", + "description": "6bits constant value: 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22153.645%22%20height=%22230.616%22%20viewBox=%220%200%20144.04211%20216.20273%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M72.021%2022.332q-21.774%200-32.8%2021.495-10.887%2021.355-10.887%2064.344%200%2042.85%2010.887%2064.345%2011.026%2021.355%2032.8%2021.355%2021.913%200%2032.8-21.355%2011.027-21.495%2011.027-64.345%200-42.99-11.027-64.344-10.887-21.495-32.8-21.495zm0-22.332q35.034%200%2053.458%2027.776%2018.563%2027.636%2018.563%2080.395%200%2052.62-18.563%2080.396-18.424%2027.636-53.458%2027.636-35.033%200-53.597-27.636Q0%20160.79%200%20108.17q0-52.76%2018.424-80.395Q36.988%200%2072.021%200z%22%20style=%22line-height:1.25%22%20font-size=%22285.851%22%20font-family=%22sans-serif%22%20stroke-width=%227.146%22%20font-weight=%22400%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "d52cf263-00e9-4791-8206-8118f63755aa", + "type": "basic.output", + "data": { + "name": "", + "range": "[5:0]", + "size": 6 + }, + "position": { + "x": 896, + "y": 256 + } + }, + { + "id": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": true + }, + "position": { + "x": 728, + "y": 152 + } + }, + { + "id": "b9254d40-2553-4815-8f20-a76ceb9bc742", + "type": "a5ad63c4387b9d7a9548afddbc8a9787b9328790", + "position": { + "x": 728, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "ad7918eb-22dd-4b6c-949f-e428f5a55530", + "port": "constant-out" + }, + "target": { + "block": "b9254d40-2553-4815-8f20-a76ceb9bc742", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + } + }, + { + "source": { + "block": "b9254d40-2553-4815-8f20-a76ceb9bc742", + "port": "72bdb170-f2b3-4c77-a258-db39a7bef38d" + }, + "target": { + "block": "d52cf263-00e9-4791-8206-8118f63755aa", + "port": "in" + }, + "size": 6 + } + ] + } + } + }, + "a5ad63c4387b9d7a9548afddbc8a9787b9328790": { + "package": { + "name": "6-bits-gen-constant", + "version": "0.0.2", + "description": "Generic: 6-bits generic constant (0-63)", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Cg%20style=%22line-height:0%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%3E%3Cpath%20d=%22M56.012%20146.905q9.055%206.708%2020.459%2017.105%2011.404%2010.062%2022.472%2021.8%2011.403%2011.74%2021.465%2024.15%2010.062%2012.074%2016.1%2022.471h-32.87q-6.372-10.397-15.763-21.13-9.392-11.068-20.124-21.13-10.398-10.062-21.13-18.447-10.398-8.385-18.783-14.087v74.794H0V4.695L27.838%200v141.874q18.447-16.1%2036.894-31.863%2018.447-16.1%2033.205-33.205h32.533q-14.422%2017.106-34.881%2035.217-20.124%2018.112-39.577%2034.882z%22%20style=%22line-height:1.25%22%20font-size=%22335.399%22%20font-weight=%22400%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "72bdb170-f2b3-4c77-a258-db39a7bef38d", + "type": "basic.output", + "data": { + "name": "", + "range": "[5:0]", + "size": 6 + }, + "position": { + "x": 944, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k", + "range": "[5:0]", + "size": 6 + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "72bdb170-f2b3-4c77-a258-db39a7bef38d", + "port": "in" + }, + "size": 6 + } + ] + } + } + }, + "7caf1cec6fc588d3237376acee34da8c2c24dc1a": { + "package": { + "name": "Buttonx2", + "version": "0.1", + "description": "Configurable buttons (pull-up on/off. Not on/off)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", + "otid": 1615538095529 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": 232 + } + }, + { + "id": "c2136078-81d0-4137-8583-c122b93cbdb0", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 232 + } + }, + { + "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 464, + "y": 456 + } + }, + { + "id": "6b884be9-7f53-4d15-8b44-dc3232221334", + "type": "basic.output", + "data": { + "name": "", + "range": "[1:0]", + "size": 2 + }, + "position": { + "x": 1208, + "y": 536 + } + }, + { + "id": "86ad1537-2ad1-475c-8402-76c08bbfe72c", + "type": "basic.input", + "data": { + "name": "pin", + "range": "[1:0]", + "clock": false, + "size": 2 + }, + "position": { + "x": 184, + "y": 552 + } + }, + { + "id": "5532be4d-c765-4341-9314-d902d4c492f9", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 664, + "y": 616 + } + }, + { + "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 608, + "y": 288 + } + }, + { + "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 832, + "y": 288 + } + }, + { + "id": "9eac5e2c-ce2b-4e1a-97d3-3788cb90ce28", + "type": "fc9dacc62ad25cd2832c3442bf277af9b708249b", + "position": { + "x": 336, + "y": 552 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "30cece5b-1280-44bf-8ebe-948a5066f4f8", + "type": "d4bd0427fb21ebb734fc9a18df1fcc2006219425", + "position": { + "x": 1040, + "y": 536 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "aa01519b-86c7-4325-bda1-6877a90d0508", + "type": "da434aa51866d6e974c4666928f40115c8d1204b", + "position": { + "x": 624, + "y": 520 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "287a735c-e158-49be-a137-cabecb31d4fe", + "type": "da434aa51866d6e974c4666928f40115c8d1204b", + "position": { + "x": 800, + "y": 648 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "port": "out" + }, + "target": { + "block": "c2136078-81d0-4137-8583-c122b93cbdb0", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "port": "outlabel" + }, + "target": { + "block": "aa01519b-86c7-4325-bda1-6877a90d0508", + "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" + } + }, + { + "source": { + "block": "5532be4d-c765-4341-9314-d902d4c492f9", + "port": "outlabel" + }, + "target": { + "block": "287a735c-e158-49be-a137-cabecb31d4fe", + "port": "59f7bee9-9832-421d-aa0a-de177f3f121d" + } + }, + { + "source": { + "block": "86ad1537-2ad1-475c-8402-76c08bbfe72c", + "port": "out" + }, + "target": { + "block": "9eac5e2c-ce2b-4e1a-97d3-3788cb90ce28", + "port": "a409d207-7594-4558-8e15-89712262cf5b" + }, + "vertices": [], + "size": 2 + }, + { + "source": { + "block": "30cece5b-1280-44bf-8ebe-948a5066f4f8", + "port": "99661aef-c9bf-473e-93c4-8f5edf511d36" + }, + "target": { + "block": "6b884be9-7f53-4d15-8b44-dc3232221334", + "port": "in" + }, + "vertices": [], + "size": 2 + }, + { + "source": { + "block": "9eac5e2c-ce2b-4e1a-97d3-3788cb90ce28", + "port": "f5a71d7b-de20-4527-80c8-0eb20de0dc77" + }, + "target": { + "block": "aa01519b-86c7-4325-bda1-6877a90d0508", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + } + }, + { + "source": { + "block": "9eac5e2c-ce2b-4e1a-97d3-3788cb90ce28", + "port": "0f7487e5-b070-4277-bba6-acf69934afca" + }, + "target": { + "block": "287a735c-e158-49be-a137-cabecb31d4fe", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [ + { + "x": 464, + "y": 656 + } + ] + }, + { + "source": { + "block": "287a735c-e158-49be-a137-cabecb31d4fe", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "30cece5b-1280-44bf-8ebe-948a5066f4f8", + "port": "e4111201-8441-4e7d-bcd2-bcf9d265d043" + } + }, + { + "source": { + "block": "aa01519b-86c7-4325-bda1-6877a90d0508", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "30cece5b-1280-44bf-8ebe-948a5066f4f8", + "port": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8" + } + }, + { + "source": { + "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "port": "constant-out" + }, + "target": { + "block": "aa01519b-86c7-4325-bda1-6877a90d0508", + "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" + } + }, + { + "source": { + "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "port": "constant-out" + }, + "target": { + "block": "287a735c-e158-49be-a137-cabecb31d4fe", + "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" + } + }, + { + "source": { + "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "port": "constant-out" + }, + "target": { + "block": "aa01519b-86c7-4325-bda1-6877a90d0508", + "port": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c" + } + }, + { + "source": { + "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "port": "constant-out" + }, + "target": { + "block": "287a735c-e158-49be-a137-cabecb31d4fe", + "port": "07e7cb88-d87c-4aa3-9938-fc226e3f4815" + }, + "vertices": [ + { + "x": 672, + "y": 384 + } + ] + } + ] + } + } + }, + "fc9dacc62ad25cd2832c3442bf277af9b708249b": { + "package": { + "name": "Separador-bus", + "version": "0.1", + "description": "Separador de bus de 2-bits en dos cables", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M168.377%2077.643l61.147-60.938C240.21%206.25%20254.56.461%20269.484.5h62.611v26.186l-61.698.046c-8.012-.043-15.705%203.133-21.47%208.81L187.48%2096.857a57.292%2057.292%200%200%201-39.993%2016.139%2057.292%2057.292%200%200%201%2039.993%2016.14l61.448%2061.314c5.765%205.677%2013.458%208.853%2021.47%208.81l61.698.046v26.186h-62.612c-14.924.039-29.463-5.9-40.204-16.28l-60.902-60.863a29.857%2029.857%200%200%200-21.347-8.81L.5%20139.427V86.457h146.524a29.884%2029.884%200%200%200%2021.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "type": "basic.output", + "data": { + "name": "o1" + }, + "position": { + "x": 568, + "y": 144 + } + }, + { + "id": "a409d207-7594-4558-8e15-89712262cf5b", + "type": "basic.input", + "data": { + "name": "i", + "range": "[1:0]", + "clock": false, + "size": 2 + }, + "position": { + "x": 120, + "y": 200 + } + }, + { + "id": "0f7487e5-b070-4277-bba6-acf69934afca", + "type": "basic.output", + "data": { + "name": "o0" + }, + "position": { + "x": 568, + "y": 272 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o1 = i[1];\nassign o0 = i[0];", + "params": [], + "ports": { + "in": [ + { + "name": "i", + "range": "[1:0]", + "size": 2 + } + ], + "out": [ + { + "name": "o1" + }, + { + "name": "o0" + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 192, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o1" + }, + "target": { + "block": "f5a71d7b-de20-4527-80c8-0eb20de0dc77", + "port": "in" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o0" + }, + "target": { + "block": "0f7487e5-b070-4277-bba6-acf69934afca", + "port": "in" + } + }, + { + "source": { + "block": "a409d207-7594-4558-8e15-89712262cf5b", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i" + }, + "size": 2 + } + ] + } + } + }, + "d4bd0427fb21ebb734fc9a18df1fcc2006219425": { + "package": { + "name": "Agregador-bus", + "version": "0.1", + "description": "Agregador de 2 cables en un bus de 2-bits", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22354.768%22%20height=%22241.058%22%20viewBox=%220%200%20332.59497%20225.99201%22%3E%3Cpath%20d=%22M164.218%2077.643L103.07%2016.705C92.386%206.25%2078.036.461%2063.11.5H.5v26.186l61.698.046c8.012-.043%2015.705%203.133%2021.47%208.81l61.448%2061.315a57.292%2057.292%200%200%200%2039.993%2016.139%2057.292%2057.292%200%200%200-39.993%2016.14L83.668%20190.45c-5.765%205.677-13.458%208.853-21.47%208.81L.5%20199.306v26.186h62.612c14.924.039%2029.463-5.9%2040.204-16.28l60.902-60.863a29.857%2029.857%200%200%201%2021.347-8.81l146.53-.113V86.457H185.571a29.884%2029.884%200%200%201-21.353-8.814z%22%20fill=%22green%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "type": "basic.input", + "data": { + "name": "i1", + "clock": false + }, + "position": { + "x": 112, + "y": 144 + } + }, + { + "id": "99661aef-c9bf-473e-93c4-8f5edf511d36", + "type": "basic.output", + "data": { + "name": "o", + "range": "[1:0]", + "size": 2 + }, + "position": { + "x": 584, + "y": 200 + } + }, + { + "id": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "type": "basic.input", + "data": { + "name": "i0", + "clock": false + }, + "position": { + "x": 112, + "y": 256 + } + }, + { + "id": "16e78204-213e-4833-9096-89d735307ec2", + "type": "basic.code", + "data": { + "code": "assign o = {i1, i0};\n", + "params": [], + "ports": { + "in": [ + { + "name": "i1" + }, + { + "name": "i0" + } + ], + "out": [ + { + "name": "o", + "range": "[1:0]", + "size": 2 + } + ] + } + }, + "position": { + "x": 296, + "y": 176 + }, + "size": { + "width": 224, + "height": 112 + } + } + ], + "wires": [ + { + "source": { + "block": "969e11ca-69e8-403b-a566-7bf2fbe3a5a8", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i1" + } + }, + { + "source": { + "block": "e4111201-8441-4e7d-bcd2-bcf9d265d043", + "port": "out" + }, + "target": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "i0" + } + }, + { + "source": { + "block": "16e78204-213e-4833-9096-89d735307ec2", + "port": "o" + }, + "target": { + "block": "99661aef-c9bf-473e-93c4-8f5edf511d36", + "port": "in" + }, + "size": 2 + } + ] + } + } + }, + "da434aa51866d6e974c4666928f40115c8d1204b": { + "package": { + "name": "Button", + "version": "0.1", + "description": "Configurable button (pull-up on/off. Not on/off)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20xmlns:xlink=%22http://www.w3.org/1999/xlink%22%20width=%22202.458%22%20height=%22255.947%22%20viewBox=%220%200%2053.566957%2067.719398%22%3E%3Cdefs%3E%3ClinearGradient%20gradientTransform=%22matrix(-.013%20-.01966%20.01932%20-.01493%20151.654%20290.082)%22%20gradientUnits=%22userSpaceOnUse%22%20y2=%222300.215%22%20x2=%226069.057%22%20y1=%222538.05%22%20x1=%225466.681%22%20id=%22b%22%20xlink:href=%22#a%22/%3E%3ClinearGradient%20id=%22a%22%3E%3Cstop%20offset=%220%22%20stop-color=%22#c3875c%22/%3E%3Cstop%20offset=%22.48%22%20stop-color=%22#eccba3%22/%3E%3Cstop%20offset=%221%22%20stop-color=%22#fce0cb%22/%3E%3C/linearGradient%3E%3C/defs%3E%3Cg%20transform=%22translate(138.022%20-134.888)%22%3E%3Cpath%20d=%22M-117.19%20184.278a20.69%209.094%200%200%200-13.734%202.307l-5.434.006-1.284%205.482a20.69%209.094%200%200%200-.238%201.3%2020.69%209.094%200%200%200%2020.69%209.093%2020.69%209.094%200%200%200%2020.69-9.094%2020.69%209.094%200%200%200-.029-.351h.064l-1.277-6.47-5.778.005a20.69%209.094%200%200%200-13.67-2.278z%22%20fill=%22#333%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20ry=%226.762%22%20rx=%2219.408%22%20cy=%22187.341%22%20cx=%22-117.074%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%22.282%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(.1018%200%200%20.10181%20-158.528%20145.536)%22%20stroke=%22#000%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20stroke-width=%222.772%22%3E%3Cellipse%20cx=%22406.087%22%20cy=%22399.216%22%20rx=%22184.221%22%20ry=%2272.858%22%20fill=%22green%22/%3E%3Cellipse%20cx=%22406.23%22%20cy=%22383.328%22%20rx=%22179.497%22%20ry=%2260.977%22%20fill=%22#0f0%22/%3E%3C/g%3E%3C/g%3E%3Cpath%20d=%22M126.16%20115.387c-1.523%201.514-2.14%203.307-2.023%205.326-.507%201.097-2.411%202.346-2.027%203.267-1.196%201.923-1.624%203.389-1.572%204.569-.544%201.24-.403%201.705-.348%202.268-2.266%203.497-4.092%208.255-5.878%2013.125-2.302%202.765-3.64%205.792-4.898%208.84-2.191%203.7-3.756%207.67-4.02%2011.394l-.265.558c2.996%203.107%204.946%201.524%207.018.747%206.637-3.112%209.504-8.52%2012.212-14.025.473-1.572%201.57-3.127%203.151-4.669%204.414-4.785%206.886-10.022%209.857-15.142.228-.428.58-1.032%201.143-1.941%201.675-1.795%203.418-4.997%205.132-7.612z%22%20fill=%22url(#b)%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22%20transform=%22translate(-90.276%20-115.233)%22/%3E%3Cpath%20d=%22M18.486%2038.911c-2.362%202.823-3.506%206.298-4.228%2010.204.518.13%201.302-.444%202.155-1.196%202.575-6.067%201.848-7.142%202.073-9.008z%22%20fill=%22#f8ecf8%22%20fill-rule=%22evenodd%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3Cpath%20d=%22M33.79%205.666c2.093-.057%203.853.53%205.373%201.582M31.832%208.759c2.001.286%203.946.663%205.428%201.788M30.331%2013.16c1.325-.48%203.02.099%204.83%201.005M29.98%2015.593l1.79.362%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%22.265%22/%3E%3C/svg%3E", + "otid": 1615538095529 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 128, + "y": 232 + } + }, + { + "id": "c2136078-81d0-4137-8583-c122b93cbdb0", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": 280, + "y": 232 + } + }, + { + "id": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 472, + "y": 368 + } + }, + { + "id": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 880, + "y": 368 + } + }, + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "s" + }, + "position": { + "x": 1200, + "y": 440 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 152, + "y": 472 + } + }, + { + "id": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "type": "basic.constant", + "data": { + "name": "pup", + "value": "0", + "local": false + }, + "position": { + "x": 336, + "y": 360 + } + }, + { + "id": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 760, + "y": 352 + } + }, + { + "id": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "type": "6c3affc36ce9207db9e9addcade0eb18994ddf4a", + "position": { + "x": 336, + "y": 472 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "10111468-7bb5-46ee-8990-113fdf380068", + "type": "76118c377f31059327783a617b8e38ffd6b935b8", + "position": { + "x": 600, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", + "type": "5645befdb78839c4a1fcf8b7f7f1bfdd13cd127d", + "position": { + "x": 760, + "y": 456 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "6ca11b45-e83b-492d-b175-8ab8f1faa08a", + "type": "basic.info", + "data": { + "info": "Internal pull-up \n* 0: OFF\n* 1: ON", + "readonly": true + }, + "position": { + "x": 320, + "y": 560 + }, + "size": { + "width": 176, + "height": 72 + } + }, + { + "id": "2349cf1c-768c-483c-bdf3-852e36755326", + "type": "basic.info", + "data": { + "info": "Synchronization stage", + "readonly": true + }, + "position": { + "x": 552, + "y": 536 + }, + "size": { + "width": 184, + "height": 32 + } + }, + { + "id": "c13f29d4-49ed-45ca-bf58-401682aa156c", + "type": "basic.info", + "data": { + "info": "Normalization stage\n\n* 0: Wire\n* 1: signal inverted", + "readonly": true + }, + "position": { + "x": 752, + "y": 536 + }, + "size": { + "width": 192, + "height": 88 + } + }, + { + "id": "9207da36-adfa-43d6-a633-ccaa601b9293", + "type": "basic.info", + "data": { + "info": "Debouncing stage", + "readonly": true + }, + "position": { + "x": 1008, + "y": 528 + }, + "size": { + "width": 168, + "height": 40 + } + }, + { + "id": "01daca30-4c88-4a43-832e-180d31fd2084", + "type": "e77f7c8a73a9bc2c86bd544caf0ef1b65c9423b1", + "position": { + "x": 1024, + "y": 440 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "59f7bee9-9832-421d-aa0a-de177f3f121d", + "port": "out" + }, + "target": { + "block": "c2136078-81d0-4137-8583-c122b93cbdb0", + "port": "inlabel" + }, + "vertices": [] + }, + { + "source": { + "block": "626e14a3-68ca-440d-b469-aeb6a69bddcb", + "port": "outlabel" + }, + "target": { + "block": "10111468-7bb5-46ee-8990-113fdf380068", + "port": "39873fa0-c3f5-47ef-b54b-b6b344416b25" + } + }, + { + "source": { + "block": "1b2fe6f0-f08f-44d2-824a-e5205b3e9fab", + "port": "outlabel" + }, + "target": { + "block": "01daca30-4c88-4a43-832e-180d31fd2084", + "port": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a" + } + }, + { + "source": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "a139fa0d-9b45-4480-a251-f4a66b49aa23" + }, + "target": { + "block": "10111468-7bb5-46ee-8990-113fdf380068", + "port": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8" + }, + "vertices": [] + }, + { + "source": { + "block": "10111468-7bb5-46ee-8990-113fdf380068", + "port": "7bfc506f-7a54-40a1-8d33-e78a5409b972" + }, + "target": { + "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", + "port": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7" + }, + "vertices": [] + }, + { + "source": { + "block": "6aacd3c5-a3e9-4a17-afb8-2b1271b3054c", + "port": "constant-out" + }, + "target": { + "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", + "port": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a" + }, + "vertices": [] + }, + { + "source": { + "block": "3154e48a-4d34-460b-9ed8-69a8a9c83eb2", + "port": "4e8afe74-8f38-4873-97ee-f7585d99a4aa" + }, + "target": { + "block": "01daca30-4c88-4a43-832e-180d31fd2084", + "port": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530" + }, + "vertices": [] + }, + { + "source": { + "block": "07e7cb88-d87c-4aa3-9938-fc226e3f4815", + "port": "constant-out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "121930e0-54c3-4b31-aa37-b33c7764abfa" + } + }, + { + "source": { + "block": "01daca30-4c88-4a43-832e-180d31fd2084", + "port": "22ff3fa1-943b-4d1a-bd89-36e1c054d077" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "93b888bf-453e-4c9c-8f58-6b9c6d54d5de", + "port": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150" + } + } + ] + } + } + }, + "6c3affc36ce9207db9e9addcade0eb18994ddf4a": { + "package": { + "name": "Pull-upx1", + "version": "1.0.2", + "description": "FPGA internal pull-up configuration on the input port", + "author": "Juan González", + "image": "%3Csvg%20id=%22svg2%22%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-265%20401.5%2063.5%2038.4%22%3E%3Cstyle%3E.st0%7Bdisplay:none%7D.st1%7Bfill:none;stroke:#000;stroke-width:.75;stroke-linejoin:round;stroke-miterlimit:10%7D.st2%7Bfill:#010002%7D%3C/style%3E%3Cpath%20class=%22st0%22%20d=%22M-242.5%20411.8v11.8h-5.4v-11.8h5.4m1-1h-7.4v13.8h7.4v-13.8z%22/%3E%3Cpath%20d=%22M-212%20425.6l-15.4-8.7v8.5h-17.4v-2.7c0-.2-.1-.4-.3-.4l-2.3-1.2%205.6-2.9c.2-.1.3-.3.3-.5s-.1-.4-.3-.4l-5.7-2.7%202.4-1.6c.1-.1.2-.2.2-.4v-2.7h3.1l-3.5-6.1-3.5%206.1h3v2.5l-2.9%202c-.1.1-.2.3-.2.5s.1.3.3.4l5.6%202.6-5.6%202.9c-.2.1-.3.3-.3.4s.1.4.3.4l2.9%201.5V425.5H-265v1.2h37.6v8.5l15.4-8.7h10.5v-.8H-212zm-33.3-20.4l2.2%203.9h-4.5l2.3-3.9zm19.2%2027.7v-13.8l12.3%206.9-12.3%206.9z%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "type": "basic.input", + "data": { + "name": "pin", + "clock": false + }, + "position": { + "x": 72, + "y": 256 + } + }, + { + "id": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 704, + "y": 256 + } + }, + { + "id": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "type": "basic.constant", + "data": { + "name": "on", + "value": "1", + "local": false + }, + "position": { + "x": 408, + "y": -8 + } + }, + { + "id": "2b245a71-2d80-466b-955f-e3d61839fe25", + "type": "basic.code", + "data": { + "code": "// 1-Pull up\n\n//-- Place the IO block, configured as \n//-- input with pull-up\nSB_IO\n #(\n .PIN_TYPE(6'b 1010_01),\n \n //-- The pull-up is activated or not\n //-- depeding on the ON parameter\n .PULLUP(ON)\n \n ) input_pin (\n\n //--- Input pin\n .PACKAGE_PIN(i),\n \n //-- Block output\n .D_IN_0(o),\n \n //-- Configured as input\n .OUTPUT_ENABLE(1'b0),\n \n //-- Not used\n .D_OUT_0(1'b0)\n );", + "params": [ + { + "name": "ON" + } + ], + "ports": { + "in": [ + { + "name": "i" + } + ], + "out": [ + { + "name": "o" + } + ] + } + }, + "position": { + "x": 256, + "y": 104 + }, + "size": { + "width": 392, + "height": 368 + } + }, + { + "id": "8055c1f2-dad2-4257-a271-c0bd64700cd7", + "type": "basic.info", + "data": { + "info": "### Pull-up parameter:\n\n0: No pull-up \n1: Pull-up activated", + "readonly": true + }, + "position": { + "x": 144, + "y": -48 + }, + "size": { + "width": 264, + "height": 104 + } + }, + { + "id": "5a96e53f-d2ff-4058-bbed-779876848487", + "type": "basic.info", + "data": { + "info": "Only an FPGA pin can \nbe connected here!!!", + "readonly": true + }, + "position": { + "x": 56, + "y": 200 + }, + "size": { + "width": 192, + "height": 56 + } + }, + { + "id": "26b0a2d0-aaa1-4204-9e57-2f2d674e03a0", + "type": "basic.info", + "data": { + "info": "The pull-up is connected \nby default", + "readonly": true + }, + "position": { + "x": 512, + "y": 0 + }, + "size": { + "width": 208, + "height": 56 + } + } + ], + "wires": [ + { + "source": { + "block": "bb4a1ca9-1b30-471e-92ca-ca7ff2fc1150", + "port": "out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "i" + } + }, + { + "source": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "o" + }, + "target": { + "block": "a139fa0d-9b45-4480-a251-f4a66b49aa23", + "port": "in" + } + }, + { + "source": { + "block": "121930e0-54c3-4b31-aa37-b33c7764abfa", + "port": "constant-out" + }, + "target": { + "block": "2b245a71-2d80-466b-955f-e3d61839fe25", + "port": "ON" + } + } + ] + } + } + }, + "76118c377f31059327783a617b8e38ffd6b935b8": { + "package": { + "name": "Sync-x01", + "version": "0.1", + "description": "Sync 1-bit input with the system clock domain", + "author": "Juan Gonzalez-González (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22214.451%22%20height=%22214.451%22%20viewBox=%220%200%20214.45128%20214.45128%22%3E%3Ccircle%20cx=%22107.226%22%20cy=%22107.226%22%20r=%22107.226%22%20fill=%22#4d4d4d%22/%3E%3Cpath%20d=%22M107.363%2038.297c14.504.03%2029.212%204.552%2040.7%2013.5%208.077%209.303-7.312%2019.268-14.243%2010.195-20.865-12.624-50.29-8.18-65.988%2010.695-8.352%209.367-13.058%2021.866-13.003%2034.413h13.789c-7.353%2011.037-14.707%2022.066-22.06%2033.095l-22.062-33.087h13.788c-.439-29.962%2021.108-58.462%2050.032-66.221%206.212-1.701%2012.607-2.654%2019.048-2.587zm60.53%2035.85l22.063%2033.092h-13.789c.39%2030.318-21.706%2059.137-51.14%2066.487-19.862%205.547-42.32%201.5-58.645-11.19-8.329-9.459%207.274-19.328%2014.27-10.173%2020.214%2012.265%2048.524%208.375%2064.48-9.142%209.242-9.522%2014.56-22.711%2014.489-35.982h-13.789l22.062-33.092z%22%20fill=%22#fbfbc9%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -256, + "y": -56 + } + }, + { + "id": "e226f910-14af-473d-956b-03559f466726", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -104, + "y": -56 + } + }, + { + "id": "7f538425-03ff-409e-81c2-d2714dfb036f", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": 128, + "y": 32 + } + }, + { + "id": "868cf45b-3801-40c1-9a04-498087cf183e", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk" + }, + "position": { + "x": -56, + "y": 72 + } + }, + { + "id": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 424, + "y": 128 + } + }, + { + "id": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -64, + "y": 160 + } + }, + { + "id": "67741c87-f247-4b39-a7c2-42944b8daa48", + "type": "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2", + "position": { + "x": 104, + "y": 144 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", + "type": "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2", + "position": { + "x": 280, + "y": 128 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "39873fa0-c3f5-47ef-b54b-b6b344416b25", + "port": "out" + }, + "target": { + "block": "e226f910-14af-473d-956b-03559f466726", + "port": "inlabel" + } + }, + { + "source": { + "block": "868cf45b-3801-40c1-9a04-498087cf183e", + "port": "outlabel" + }, + "target": { + "block": "67741c87-f247-4b39-a7c2-42944b8daa48", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "7f538425-03ff-409e-81c2-d2714dfb036f", + "port": "outlabel" + }, + "target": { + "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "f25e9a9e-cd4c-4025-8745-0691a99a3fb8", + "port": "out" + }, + "target": { + "block": "67741c87-f247-4b39-a7c2-42944b8daa48", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "67741c87-f247-4b39-a7c2-42944b8daa48", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "d627c1ba-2223-4a7b-918f-9458ec7be7a0", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "7bfc506f-7a54-40a1-8d33-e78a5409b972", + "port": "in" + } + } + ] + } + } + }, + "c8cfbaa5ea9096f681e8acf2ca239f06275f5db2": { + "package": { + "name": "DFF", + "version": "2.0", + "description": "D Flip-flop (verilog implementation)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 208, + "y": 160 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 816, + "y": 224 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 208, + "y": 304 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 512, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "//-- Initial value\nreg q = INI;\n\n//-- Capture the input data \n//-- on the rising edge of \n//-- the system clock\nalways @(posedge clk)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 344, + "height": 176 + } + }, + { + "id": "53d11290-50b3-40fb-b253-222cb296b075", + "type": "basic.info", + "data": { + "info": "Parameter: Initial value", + "readonly": true + }, + "position": { + "x": 488, + "y": 48 + }, + "size": { + "width": 208, + "height": 40 + } + }, + { + "id": "c25a29cd-d5ed-435e-b375-e6d5557660d8", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 208, + "y": 136 + }, + "size": { + "width": 120, + "height": 32 + } + }, + { + "id": "ecafc6fa-330b-4ba7-aa67-40b3ea48f1f1", + "type": "basic.info", + "data": { + "info": "Input data", + "readonly": true + }, + "position": { + "x": 224, + "y": 280 + }, + "size": { + "width": 112, + "height": 40 + } + }, + { + "id": "df95c331-682d-4733-a62d-ad9fcd75f96a", + "type": "basic.info", + "data": { + "info": "Output", + "readonly": true + }, + "position": { + "x": 840, + "y": 200 + }, + "size": { + "width": 80, + "height": 40 + } + }, + { + "id": "dd8217df-b56d-49a9-ae94-f5e0c96e1460", + "type": "basic.info", + "data": { + "info": "# D Flip-Flop \n\nIt stores the input data that arrives at cycle n \nIts output is shown in the cycle n+1", + "readonly": true + }, + "position": { + "x": 144, + "y": -136 + }, + "size": { + "width": 488, + "height": 104 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + }, + "5645befdb78839c4a1fcf8b7f7f1bfdd13cd127d": { + "package": { + "name": "not-wire-x01", + "version": "0.1", + "description": "Select positive or negative logic for the input (0=positive, 1=negative)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22317.537%22%20height=%22255.621%22%20version=%221%22%3E%3Cpath%20d=%22M112.127%2098.805l133.418%2076.406-133.418%2076.41z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linejoin=%22round%22/%3E%3Cellipse%20cx=%22263.881%22%20cy=%22174.972%22%20rx=%2217.634%22%20ry=%2217.982%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4%20175.592h108.187m169.632%200h31.718%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%22121.949%22%20y=%22187.178%22%20transform=%22scale(.9971%201.0029)%22%20font-weight=%22400%22%20font-size=%229.874%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%22121.949%22%20y=%22187.178%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2240.873%22%3ENot%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M4%2062.122h309.537%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2214.865%22%20y=%2236.868%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2214.865%22%20y=%2236.868%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E0%3C/tspan%3E%3C/text%3E%3Ctext%20style=%22line-height:0%25%22%20x=%2216.903%22%20y=%22151.969%22%20transform=%22scale(.99532%201.0047)%22%20font-weight=%22400%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%3E%3Ctspan%20x=%2216.903%22%20y=%22151.969%22%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20font-weight=%22700%22%20font-size=%2249.675%22%3E1%3C/tspan%3E%3C/text%3E%3C/svg%3E", + "otid": 1607779171609 + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 824, + "y": 304 + } + }, + { + "id": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 376, + "y": 320 + } + }, + { + "id": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "type": "basic.constant", + "data": { + "name": "not", + "value": "0", + "local": false + }, + "position": { + "x": 520, + "y": 160 + } + }, + { + "id": "160f76e9-4d8d-424e-8689-bb890101823c", + "type": "3ba5d0ecbd8f55582a6307158732789df06cb74c", + "position": { + "x": 520, + "y": 256 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5237d3a4-e717-4eb1-a261-65f0c313b67c", + "type": "b70dd9c39fe3c51faff4233d2dde462fc53c7e38", + "position": { + "x": 672, + "y": 304 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "019e81db-5707-409c-b159-b4cb29813cc4", + "type": "basic.info", + "data": { + "info": "When k=0, it works like a wire \n(The output is equal to the input) \nWhen k=1, it act as a not gate\n(The output is the inverse of the input)", + "readonly": true + }, + "position": { + "x": 632, + "y": 392 + }, + "size": { + "width": 336, + "height": 96 + } + }, + { + "id": "68880edb-df66-4540-8ee4-f3836fdb5f0e", + "type": "basic.info", + "data": { + "info": "### Truth table for XOR\n\n| k | input | output | function |\n|---|-------|--------|----------|\n| 0 | 0 | 0 | wire |\n| 0 | 1 | 1 | wire |\n| 1 | 0 | 1 | Not |\n| 1 | 1 | 0 | Not |", + "readonly": true + }, + "position": { + "x": 728, + "y": 8 + }, + "size": { + "width": 296, + "height": 144 + } + } + ], + "wires": [ + { + "source": { + "block": "2cd40fd8-3a2b-46f7-a922-fedf146ed11a", + "port": "constant-out" + }, + "target": { + "block": "160f76e9-4d8d-424e-8689-bb890101823c", + "port": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4" + }, + "vertices": [] + }, + { + "source": { + "block": "160f76e9-4d8d-424e-8689-bb890101823c", + "port": "5d443143-4aca-4d4f-ba40-2b88451b86d3" + }, + "target": { + "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "adf3a6ae-71e2-43dd-b504-c2cdbc14dab7", + "port": "out" + }, + "target": { + "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + } + }, + { + "source": { + "block": "5237d3a4-e717-4eb1-a261-65f0c313b67c", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "4e8afe74-8f38-4873-97ee-f7585d99a4aa", + "port": "in" + } + } + ] + } + } + }, + "3ba5d0ecbd8f55582a6307158732789df06cb74c": { + "package": { + "name": "Constante-1bits", + "version": "0.0.1", + "description": "Valor genérico constante, de 1 bits. Su valor se introduce como parámetro. Por defecto vale 0", + "author": "Juan Gonzalez-Gomez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22145.608%22%20height=%22247.927%22%20viewBox=%220%200%20136.50729%20232.43134%22%3E%3Ctext%20style=%22line-height:125%25;-inkscape-font-specification:'Ubuntu%20Mono'%22%20x=%22293.115%22%20y=%22648.344%22%20font-weight=%22400%22%20font-size=%22335.399%22%20font-family=%22Ubuntu%20Mono%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22green%22%20transform=%22translate(-316.929%20-415.913)%22%3E%3Ctspan%20x=%22293.115%22%20y=%22648.344%22%3Ek%3C/tspan%3E%3C/text%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 960, + "y": 248 + } + }, + { + "id": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 728, + "y": 128 + } + }, + { + "id": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "type": "basic.code", + "data": { + "code": "assign k = VALUE;", + "params": [ + { + "name": "VALUE" + } + ], + "ports": { + "in": [], + "out": [ + { + "name": "k" + } + ] + } + }, + "position": { + "x": 672, + "y": 248 + }, + "size": { + "width": 208, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "c0fb4784-5e8c-4f41-9f4b-6daa2e9e03a4", + "port": "constant-out" + }, + "target": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "VALUE" + } + }, + { + "source": { + "block": "7dbe7521-0f9f-43ee-ab0c-0439e2c20bc2", + "port": "k" + }, + "target": { + "block": "5d443143-4aca-4d4f-ba40-2b88451b86d3", + "port": "in" + } + } + ] + } + } + }, + "b70dd9c39fe3c51faff4233d2dde462fc53c7e38": { + "package": { + "name": "XOR", + "version": "1.0.1", + "description": "Puerta XOR", + "author": "Jesús Arroyo, Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20height=%22193.047%22%20width=%22383.697%22%20version=%221%22%3E%3Cpath%20d=%22M175.56%20189.047H84.527s30.345-42.538%2031.086-94.03c.743-51.49-31.821-90.294-31.821-90.294l92.317-.394c46.445%201.948%20103.899%2053.44%20123.047%2093.678-32.601%2067.503-92.158%2089.79-123.596%2091.04z%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M4.057%2047.62h99.605M4.883%20145.497h100.981M298.57%2099.219h81.07%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22/%3E%3Ctext%20x=%22129.011%22%20y=%22115.285%22%20font-size=%2258.24%22%20font-weight=%22400%22%20style=%22line-height:125%25%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#00f%22%20transform=%22translate(0%20.329)%22%3E%3Ctspan%20x=%22129.011%22%20y=%22115.285%22%20font-weight=%22700%22%3EXOR%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M54.321%20188.368s30.345-42.538%2031.086-94.03c.742-51.49-31.821-90.294-31.821-90.294%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%228%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 88 + } + }, + { + "id": "664caf9e-5f40-4df4-800a-b626af702e62", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 784, + "y": 152 + } + }, + { + "id": "97b51945-d716-4b6c-9db9-970d08541249", + "type": "basic.input", + "data": { + "name": "" + }, + "position": { + "x": 64, + "y": 224 + } + }, + { + "id": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "type": "basic.code", + "data": { + "code": "//-- Puerta XOR\n\n//-- module xor (input wire a, input wire b,\n//-- output wire c);\n\nassign c = a ^ b;\n\n//-- endmodule", + "params": [], + "ports": { + "in": [ + { + "name": "a" + }, + { + "name": "b" + } + ], + "out": [ + { + "name": "c" + } + ] + } + }, + "position": { + "x": 256, + "y": 48 + }, + "size": { + "width": 464, + "height": 272 + } + } + ], + "wires": [ + { + "source": { + "block": "18c2ebc7-5152-439c-9b3f-851c59bac834", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "a" + } + }, + { + "source": { + "block": "97b51945-d716-4b6c-9db9-970d08541249", + "port": "out" + }, + "target": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "b" + } + }, + { + "source": { + "block": "00925b04-5004-4307-a737-fa4e97c8b6ab", + "port": "c" + }, + "target": { + "block": "664caf9e-5f40-4df4-800a-b626af702e62", + "port": "in" + } + } + ] + } + } + }, + "e77f7c8a73a9bc2c86bd544caf0ef1b65c9423b1": { + "package": { + "name": "Debouncer-x01", + "version": "1.0.1", + "description": "Remove the rebound on a mechanical switch", + "author": "Juan González", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20viewBox=%22-252%20400.9%2090%2040%22%3E%3Cpath%20d=%22M-251.547%20436.672h22.802v-30.353h5.862v30.353h5.259v-30.353h3.447v30.353h2.984v-30.353h3.506v30.523h6.406V405.77h38.868%22%20fill=%22none%22%20stroke=%22#000%22%20stroke-width=%221.4%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M-232.57%20403.877l26.946%2032.391M-205.624%20403.877l-26.946%2032.391%22%20fill=%22none%22%20stroke=%22red%22%20stroke-width=%223%22%20stroke-linecap=%22round%22/%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": -376, + "y": -656 + } + }, + { + "id": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "type": "basic.inputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "pins": [ + { + "index": "0", + "name": "", + "value": "" + } + ], + "virtual": true + }, + "position": { + "x": -224, + "y": -656 + } + }, + { + "id": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 952, + "y": -600 + } + }, + { + "id": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out" + }, + "position": { + "x": 816, + "y": -600 + } + }, + { + "id": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": -376, + "y": -584 + } + }, + { + "id": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true + }, + "position": { + "x": -224, + "y": -584 + } + }, + { + "id": "5d12a177-7618-4517-9067-3012f7cb42ce", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 552, + "y": -440 + } + }, + { + "id": "2f1050dd-a720-4ede-890e-612ce370ba61", + "type": "basic.inputLabel", + "data": { + "blockColor": "fuchsia", + "name": "out", + "pins": [ + { + "index": "0", + "name": "NULL", + "value": "NULL" + } + ], + "virtual": true, + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 840, + "y": -352 + } + }, + { + "id": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 536, + "y": -352 + } + }, + { + "id": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 384, + "y": -288 + } + }, + { + "id": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "type": "basic.outputLabel", + "data": { + "blockColor": "yellow", + "name": "clk", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": -232 + } + }, + { + "id": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "type": "basic.outputLabel", + "data": { + "blockColor": "fuchsia", + "name": "in", + "oldBlockColor": "fuchsia" + }, + "position": { + "x": 240, + "y": -160 + } + }, + { + "id": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "type": "93adf61bc489d9a96a344d3f2600237e9e19c607", + "position": { + "x": 704, + "y": -368 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", + "type": "8d4ef5a2cf273f2265401931a99a46e9dc224688", + "position": { + "x": 520, + "y": -192 + }, + "size": { + "width": 96, + "height": 96 + } + }, + { + "id": "1be0ed57-ae41-4ca5-a8c0-3d70585336a6", + "type": "basic.info", + "data": { + "info": "Edge detector", + "readonly": true + }, + "position": { + "x": 368, + "y": -104 + }, + "size": { + "width": 128, + "height": 40 + } + }, + { + "id": "cabf3c3d-a707-4e06-be9a-4a66817c7a26", + "type": "basic.info", + "data": { + "info": "Whenever there is a change in \nthe input, the counter is started", + "readonly": true + }, + "position": { + "x": 528, + "y": -88 + }, + "size": { + "width": 288, + "height": 56 + } + }, + { + "id": "6dcd32b7-40bd-433d-a1e2-222636c19f2f", + "type": "basic.info", + "data": { + "info": "If the counter reaches it maximum \nvalue, the input is considered stable \nand it is captured", + "readonly": true + }, + "position": { + "x": 720, + "y": -456 + }, + "size": { + "width": 304, + "height": 72 + } + }, + { + "id": "5ee60a84-8e65-49de-9b87-5afd9770b5a3", + "type": "basic.info", + "data": { + "info": "### Time calculation\n\nFor CLK=12MHZ, a 16-bit counter reaches its \nmaximum every 2 ** 16 * 1/F = 5.5ms aprox \nIF more time is needed for debouncing, \nuse a counter with more bits (17, 18...)", + "readonly": true + }, + "position": { + "x": 432, + "y": -640 + }, + "size": { + "width": 360, + "height": 120 + } + }, + { + "id": "f998abd9-dfc8-42d4-8816-c89f07a61e6f", + "type": "basic.info", + "data": { + "info": "## Debouncer \n\nA value is considered stable when \nthere is no changes during 5.5ms \naprox. When a value is stable it is \ncaptured on the output flip-flop", + "readonly": true + }, + "position": { + "x": -8, + "y": -648 + }, + "size": { + "width": 312, + "height": 128 + } + }, + { + "id": "ababfa12-265a-4c7c-a482-8ed44cf9171c", + "type": "basic.info", + "data": { + "info": "Stable output", + "readonly": true + }, + "position": { + "x": 880, + "y": -280 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "656df089-b248-432e-b6b5-ecd4bb5cc8eb", + "type": "basic.info", + "data": { + "info": "Counter", + "readonly": true + }, + "position": { + "x": 536, + "y": -232 + }, + "size": { + "width": 96, + "height": 40 + } + }, + { + "id": "86bbfd43-f070-454b-9a7a-6619effdf4ba", + "type": "bd4c1c9f0bb10723d47c88d76880e83269242745", + "position": { + "x": 368, + "y": -176 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "d75b8803-b76e-4aee-bd69-0bbc8473226f", + "type": "febcfed8636b8ee9a98750b96ed9e53a165dd4a8", + "position": { + "x": 384, + "y": -48 + }, + "size": { + "width": 96, + "height": 64 + } + } + ], + "wires": [ + { + "source": { + "block": "4bf41c17-a2da-4140-95f7-2a80d51b1e1a", + "port": "out" + }, + "target": { + "block": "a0474543-ff59-4f6f-8d2e-3993d79c13de", + "port": "inlabel" + } + }, + { + "source": { + "block": "d8572528-e0db-413c-a4ff-64d8cfee021d", + "port": "outlabel" + }, + "target": { + "block": "86bbfd43-f070-454b-9a7a-6619effdf4ba", + "port": "2708468d-1088-4570-be63-fb0d4799a941" + } + }, + { + "source": { + "block": "5d12a177-7618-4517-9067-3012f7cb42ce", + "port": "outlabel" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "c1243e04-edbf-4cc6-9d85-f7bf35ac1dae", + "port": "outlabel" + }, + "target": { + "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", + "port": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3" + } + }, + { + "source": { + "block": "c9e1af2a-6f09-4cf6-a5b3-fdf7ec2c6530", + "port": "out" + }, + "target": { + "block": "b0e427bd-ce26-43b5-9d61-685f1c1ab922", + "port": "inlabel" + } + }, + { + "source": { + "block": "ba86528a-72e9-40f7-a1e6-c2e684026c24", + "port": "outlabel" + }, + "target": { + "block": "86bbfd43-f070-454b-9a7a-6619effdf4ba", + "port": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff" + } + }, + { + "source": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "2f1050dd-a720-4ede-890e-612ce370ba61", + "port": "inlabel" + } + }, + { + "source": { + "block": "20d06e62-fd81-4956-b93c-ade0e616fb98", + "port": "outlabel" + }, + "target": { + "block": "22ff3fa1-943b-4d1a-bd89-36e1c054d077", + "port": "in" + } + }, + { + "source": { + "block": "761cc74e-6d08-4b08-acf3-70197fd7076a", + "port": "outlabel" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", + "port": "cc17ff4d-1c27-4dc3-a14c-da730d54750e" + }, + "target": { + "block": "3c0a5e86-2068-4aef-8ad9-34d39461ddfe", + "port": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7" + }, + "vertices": [] + }, + { + "source": { + "block": "d75b8803-b76e-4aee-bd69-0bbc8473226f", + "port": "3d584b0a-29eb-47af-8c43-c0822282ef05" + }, + "target": { + "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", + "port": "26aba23f-8567-4e9b-bd45-c26724030f33" + }, + "vertices": [] + }, + { + "source": { + "block": "86bbfd43-f070-454b-9a7a-6619effdf4ba", + "port": "1c25e08e-e664-4fab-9b30-cedc1f8a3739" + }, + "target": { + "block": "c8bd0542-c3ff-484b-80b0-b883c1df77e5", + "port": "743b5299-2d89-4783-b7c9-12a5b36df406" + } + } + ] + } + } + }, + "93adf61bc489d9a96a344d3f2600237e9e19c607": { + "package": { + "name": "Reg-1bit", + "version": "0.1", + "description": "1bit register (implemented in verilog)", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22216.379%22%20height=%22279.911%22%20viewBox=%220%200%2057.25032%2074.059853%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M41.506%2040.152l13.608%2016.672-6.918-20.534%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M34.122%2032.088L24.89%2012.475l-6.45%203.724-2.07-3.583L37.276.546l2.07%203.584-6.093%203.517%2012.03%2018.223s5.4-2.025%208.536.74c3.136%202.766%202.52%204.92%202.887%204.772L33.017%2045.02s-2.849-3.695-2.16-6.795c.687-3.1%203.265-6.137%203.265-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3Cg%20transform=%22translate(-93.518%20-22.665)%20scale(1.09073)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20cx=%22100.602%22%20cy=%2273.815%22%20r=%2214.559%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 216, + "y": 104 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "d", + "clock": false + }, + "position": { + "x": 216, + "y": 192 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 728, + "y": 192 + } + }, + { + "id": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "type": "basic.input", + "data": { + "name": "load", + "clock": false + }, + "position": { + "x": 240, + "y": 320 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 472, + "y": 56 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "reg q = INI;\n\nalways @(posedge clk)\n if (load)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + }, + { + "name": "load" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 272, + "height": 104 + } + }, + { + "id": "3df131d9-1f78-4d88-bd06-bcbe95855d01", + "type": "basic.info", + "data": { + "info": "Initial value", + "readonly": true + }, + "position": { + "x": 480, + "y": 48 + }, + "size": { + "width": 136, + "height": 32 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + }, + { + "source": { + "block": "4e3677f4-ae08-4a6f-80a7-ec71cd0c24b7", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "load" + } + } + ] + } + } + }, + "8d4ef5a2cf273f2265401931a99a46e9dc224688": { + "package": { + "name": "Contador-16bits-up-rst", + "version": "0.1", + "description": "Contador módulo M, ascendente, de 16 bits, con reset ", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22327.118%22%20height=%22304.435%22%20viewBox=%220%200%2086.549973%2080.548402%22%3E%3Cdefs%3E%3Cmarker%20id=%22a%22%20orient=%22auto%22%20overflow=%22visible%22%3E%3Cpath%20d=%22M2.308%200l-3.46%202v-4z%22%20fill=%22#00f%22%20fill-rule=%22evenodd%22%20stroke=%22#00f%22%20stroke-width=%22.5332%22/%3E%3C/marker%3E%3C/defs%3E%3Cg%20transform=%22translate(-59.162%20-4.54)%22%3E%3Cpath%20d=%22M138.803%2048.49V16.91%22%20fill=%22#00f%22%20stroke=%22#00f%22%20stroke-width=%222.806%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%20marker-end=%22url(#a)%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2299.747%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%22108.575%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%22108.575%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M100.868%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Crect%20rx=%222.807%22%20ry=%222.807%22%20y=%225.289%22%20x=%2266.333%22%20height=%2247.849%22%20width=%2229.538%22%20fill=%22#666%22%20stroke=%22#000%22%20stroke-width=%221.497%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22/%3E%3Ctext%20transform=%22scale(.94516%201.05802)%22%20y=%2240.921%22%20x=%2273.223%22%20style=%22line-height:0%25%22%20font-weight=%22400%22%20font-size=%228.982%22%20font-family=%22sans-serif%22%20letter-spacing=%220%22%20word-spacing=%220%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%221.497%22%3E%3Ctspan%20style=%22line-height:1.25;-inkscape-font-specification:'sans-serif%20Bold'%22%20y=%2240.921%22%20x=%2273.223%22%20font-weight=%22700%22%20font-size=%2235.656%22%3E0%3C/tspan%3E%3C/text%3E%3Cpath%20d=%22M67.454%2030.283h27.764%22%20fill=%22#fff%22%20stroke=%22#000%22%20stroke-width=%222.245%22%20stroke-linecap=%22square%22%20stroke-linejoin=%22round%22/%3E%3Cg%20transform=%22matrix(1.00937%200%200%201.00937%20-27.38%20-4.42)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(1.00937%200%200%201.00937%2028.939%20-4.42)%22%20stroke=%22green%22%20stroke-linecap=%22round%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 264, + "y": 176 + } + }, + { + "id": "bc20f3e9-fdc2-457f-9c07-6d609142c689", + "type": "basic.output", + "data": { + "name": "", + "range": "[15:0]", + "size": 16 + }, + "position": { + "x": 800, + "y": 200 + } + }, + { + "id": "743b5299-2d89-4783-b7c9-12a5b36df406", + "type": "basic.input", + "data": { + "name": "rst", + "clock": false + }, + "position": { + "x": 264, + "y": 280 + } + }, + { + "id": "cc17ff4d-1c27-4dc3-a14c-da730d54750e", + "type": "basic.output", + "data": { + "name": "ov" + }, + "position": { + "x": 800, + "y": 352 + } + }, + { + "id": "26aba23f-8567-4e9b-bd45-c26724030f33", + "type": "basic.input", + "data": { + "name": "cnt", + "clock": false + }, + "position": { + "x": 264, + "y": 376 + } + }, + { + "id": "eb9f9c33-e717-43f0-98eb-dbd9c278fa8c", + "type": "basic.constant", + "data": { + "name": "", + "value": "'h10000", + "local": false + }, + "position": { + "x": 528, + "y": 48 + } + }, + { + "id": "b35ae5de-a490-4f7b-9200-ac52cd3f333e", + "type": "basic.info", + "data": { + "info": "**Parámetro**: Módulo del contador", + "readonly": true + }, + "position": { + "x": 472, + "y": 32 + }, + "size": { + "width": 280, + "height": 56 + } + }, + { + "id": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "type": "basic.code", + "data": { + "code": "//-- Numero de bits del contador\nlocalparam N = 16; \n\n//-- En contadores de N bits:\n//-- M = 2 ** N\n\n//-- Internamente usamos un bit mas\n//-- (N+1) bits\nreg [N:0] qi = 0;\n\nalways @(posedge clk)\n if (rst | ov)\n qi <= 0;\n else\n if (cnt)\n qi <= qi + 1;\n \nassign q = qi;\n\n//-- Comprobar overflow\nassign ov = (qi == M);\n ", + "params": [ + { + "name": "M" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "rst" + }, + { + "name": "cnt" + } + ], + "out": [ + { + "name": "q", + "range": "[15:0]", + "size": 16 + }, + { + "name": "ov" + } + ] + } + }, + "position": { + "x": 408, + "y": 160 + }, + "size": { + "width": 336, + "height": 296 + } + } + ], + "wires": [ + { + "source": { + "block": "743b5299-2d89-4783-b7c9-12a5b36df406", + "port": "out" + }, + "target": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "rst" + } + }, + { + "source": { + "block": "eb9f9c33-e717-43f0-98eb-dbd9c278fa8c", + "port": "constant-out" + }, + "target": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "M" + } + }, + { + "source": { + "block": "26aba23f-8567-4e9b-bd45-c26724030f33", + "port": "out" + }, + "target": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "cnt" + } + }, + { + "source": { + "block": "c90da543-4b9c-4f4c-a5fa-7e1166ee1dd3", + "port": "out" + }, + "target": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "clk" + } + }, + { + "source": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "ov" + }, + "target": { + "block": "cc17ff4d-1c27-4dc3-a14c-da730d54750e", + "port": "in" + } + }, + { + "source": { + "block": "e5d5eb54-41fb-40de-908c-b1fe9b2ec085", + "port": "q" + }, + "target": { + "block": "bc20f3e9-fdc2-457f-9c07-6d609142c689", + "port": "in" + }, + "size": 16 + } + ] + } + } + }, + "bd4c1c9f0bb10723d47c88d76880e83269242745": { + "package": { + "name": "Edges-detector", + "version": "0.1", + "description": "Edges detector. It generates a 1-period pulse (tic) when either a rising edge or a falling edge is detected on the input", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22294.1%22%20height=%22185.316%22%20viewBox=%220%200%2077.813988%2049.031403%22%3E%3Cg%20stroke-linecap=%22round%22%3E%3Cpath%20d=%22M13.478%2032.941l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.556.583%202.528%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M12.773%202.492v29.942%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M1.984%2022.683l10.689%2010.453%2010.35-10.453%22%20fill=%22none%22%20stroke=%22#00f%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3Cg%20fill=%22none%22%20stroke=%22#00f%22%3E%3Cpath%20d=%22M42.248%2032.434l6.026%207.975-5.054%206.03%201.749%201.556m-3.96-15.367l-3.5%207.976-7.97%201.555.583%202.529%22%20stroke-width=%221.058%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M41.543%2032.628V2.686%22%20stroke-width=%223.969%22/%3E%3Cpath%20d=%22M30.754%2012.436L41.443%201.984l10.35%2010.452%22%20stroke-width=%223.969%22%20stroke-linejoin=%22round%22/%3E%3C/g%3E%3Cg%20transform=%22matrix(.842%200%200%20.842%20-19.408%20-26.157)%22%20stroke=%22green%22%3E%3Ccircle%20r=%2214.559%22%20cy=%2273.815%22%20cx=%22100.602%22%20fill=%22#ececec%22%20stroke-width=%22.608%22%20stroke-linejoin=%22round%22/%3E%3Cpath%20d=%22M106.978%2082.142h-3.353V63.316H97.54v18.678h-3.652%22%20fill=%22none%22%20stroke-width=%221.521%22/%3E%3C/g%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "2708468d-1088-4570-be63-fb0d4799a941", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 88, + "y": 152 + } + }, + { + "id": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 88, + "y": 280 + } + }, + { + "id": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 616, + "y": 352 + } + }, + { + "id": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "type": "1c7dae7144d376f2ee4896fcc502a29110e2db37", + "position": { + "x": 320, + "y": 264 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "49c0a94e-2687-4aa4-8cba-327b2942095f", + "type": "basic.info", + "data": { + "info": "## Edges detector\n\nIt generates a 1-period pulse (tic) when an edge (Rising or falling) is detected on the \ninput signal", + "readonly": true + }, + "position": { + "x": 104, + "y": -40 + }, + "size": { + "width": 648, + "height": 96 + } + }, + { + "id": "a6ea5e17-d259-4272-8d1c-87a6a7fe3235", + "type": "basic.info", + "data": { + "info": "Input signal", + "readonly": true + }, + "position": { + "x": 96, + "y": 256 + }, + "size": { + "width": 136, + "height": 40 + } + }, + { + "id": "d0d6c668-3c03-42f5-9244-9a0431f11a87", + "type": "basic.info", + "data": { + "info": "System clock", + "readonly": true + }, + "position": { + "x": 104, + "y": 120 + }, + "size": { + "width": 96, + "height": 48 + } + }, + { + "id": "f18011f4-eb45-4f91-9716-c1d8c99f1845", + "type": "basic.info", + "data": { + "info": "Current signal \nstate", + "readonly": true + }, + "position": { + "x": 160, + "y": 352 + }, + "size": { + "width": 168, + "height": 48 + } + }, + { + "id": "ab801839-c115-4e44-adb7-349586890b97", + "type": "basic.info", + "data": { + "info": "Signal state in the previous \nclock cycle", + "readonly": true + }, + "position": { + "x": 328, + "y": 200 + }, + "size": { + "width": 248, + "height": 48 + } + }, + { + "id": "fde5c436-d382-48e7-80b5-390c05f46b9d", + "type": "basic.info", + "data": { + "info": "The output is 1 if the current value is 1 and the \nprevious 0, or if the current value is 0 and the \nprevious 1\n", + "readonly": true + }, + "position": { + "x": 504, + "y": 264 + }, + "size": { + "width": 400, + "height": 72 + } + }, + { + "id": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "type": "d1240143e1ff7afe57f0f11565da980612b2bb76", + "position": { + "x": 480, + "y": 352 + }, + "size": { + "width": 96, + "height": 64 + } + }, + { + "id": "5590cf27-7da8-4183-b70c-0c9fda4a6dc0", + "type": "basic.info", + "data": { + "info": "In any other case the output is 0", + "readonly": true + }, + "position": { + "x": 520, + "y": 432 + }, + "size": { + "width": 296, + "height": 40 + } + } + ], + "wires": [ + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "bf2f0c53-2d04-4cba-aa70-2df85502d24f" + } + }, + { + "source": { + "block": "2708468d-1088-4570-be63-fb0d4799a941", + "port": "out" + }, + "target": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "3943e194-090b-4553-9df3-88bc4b17abc2" + } + }, + { + "source": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "664caf9e-5f40-4df4-800a-b626af702e62" + }, + "target": { + "block": "1c25e08e-e664-4fab-9b30-cedc1f8a3739", + "port": "in" + } + }, + { + "source": { + "block": "71397c49-5476-4a34-a914-7ec7f07fbf10", + "port": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "18c2ebc7-5152-439c-9b3f-851c59bac834" + } + }, + { + "source": { + "block": "9ca5353b-32fd-4f1f-af0b-5339bd50b1ff", + "port": "out" + }, + "target": { + "block": "4a6c58dc-e4cb-43b8-8a52-07ef0aa616f6", + "port": "97b51945-d716-4b6c-9db9-970d08541249" + }, + "vertices": [ + { + "x": 264, + "y": 368 + } + ] + } + ] + } + } + }, + "1c7dae7144d376f2ee4896fcc502a29110e2db37": { + "package": { + "name": "Biestable-D", + "version": "0.1", + "description": "Biestable de datos (Tipo D). Cuando se recibe un tic por load se captura el dato", + "author": "Juan González-Gómez (Obijuan)", + "image": "%3Csvg%20xmlns=%22http://www.w3.org/2000/svg%22%20width=%22156.57%22%20height=%22216.83%22%20viewBox=%220%200%2041.425941%2057.369679%22%3E%3Cg%20stroke=%22#000%22%20stroke-width=%221.442%22%20stroke-linecap=%22round%22%20stroke-linejoin=%22round%22%3E%3Cpath%20d=%22M25.682%2040.152L39.29%2056.824%2032.372%2036.29%22%20fill=%22#ccc%22%20stroke-width=%221.0924880399999999%22/%3E%3Cpath%20d=%22M18.298%2032.088L9.066%2012.475l-6.45%203.724-2.07-3.583L21.451.546%2023.52%204.13l-6.092%203.517%2012.03%2018.223s5.399-2.025%208.535.74c3.137%202.766%202.52%204.92%202.887%204.772L17.192%2045.02s-2.848-3.695-2.16-6.795c.688-3.1%203.266-6.137%203.266-6.137z%22%20fill=%22red%22%20stroke-width=%221.0924880399999999%22/%3E%3C/g%3E%3C/svg%3E" + }, + "design": { + "graph": { + "blocks": [ + { + "id": "3943e194-090b-4553-9df3-88bc4b17abc2", + "type": "basic.input", + "data": { + "name": "", + "clock": true + }, + "position": { + "x": 192, + "y": 136 + } + }, + { + "id": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "type": "basic.output", + "data": { + "name": "" + }, + "position": { + "x": 680, + "y": 184 + } + }, + { + "id": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "type": "basic.input", + "data": { + "name": "", + "clock": false + }, + "position": { + "x": 192, + "y": 232 + } + }, + { + "id": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "type": "basic.constant", + "data": { + "name": "", + "value": "0", + "local": false + }, + "position": { + "x": 456, + "y": 64 + } + }, + { + "id": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "type": "basic.code", + "data": { + "code": "reg q = INI;\nalways @(posedge clk)\n q <= d;", + "params": [ + { + "name": "INI" + } + ], + "ports": { + "in": [ + { + "name": "clk" + }, + { + "name": "d" + } + ], + "out": [ + { + "name": "q" + } + ] + } + }, + "position": { + "x": 384, + "y": 168 + }, + "size": { + "width": 232, + "height": 88 + } + } + ], + "wires": [ + { + "source": { + "block": "3943e194-090b-4553-9df3-88bc4b17abc2", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "clk" + } + }, + { + "source": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "q" + }, + "target": { + "block": "aa84d31e-cd92-44c7-bb38-c7a4cd903a78", + "port": "in" + } + }, + { + "source": { + "block": "65194b18-5d2a-41b2-bd86-01be99978ad6", + "port": "constant-out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "INI" + } + }, + { + "source": { + "block": "bf2f0c53-2d04-4cba-aa70-2df85502d24f", + "port": "out" + }, + "target": { + "block": "bdc170f0-4468-4137-bd79-4624c9cadf2b", + "port": "d" + } + } + ] + } + } + } + } +} \ No newline at end of file